2021 22nd International Conference on Electronic Packaging Technology (ICEPT) | 2021

Investigation on the Warpage of Fan-Out Wafer-Level Packaging Using Curing Reaction Kinetics of Composites

 
 
 
 
 
 

Abstract


As an advanced edition of the standard wafer-level package (WLP), Fan-Out WLP (FOWLP) has played a critical role in the industry of integrated circuits with higher integration levels and condense external contacts. Since TSMC/ Apple buzz, Fan-Out packaging is still maintaining its centrality as a popular option for mega-trend driven applications like 5G, HPC (Networking) and SiPs (Consumers). Discrepant with the design defects of conventional WLP schemes, the number of I/O connections is unlimited in FOWLP. Thus, FOWLP takes the single die diced from the whole wafer and coating them with epoxy mold compound (EMC). Then space allocated between each die for additional I/O connection points is design and manufactured by the foundry. Afterward, the redistribution layers (RDL) are classical means to interconnect the die and solder joints instead of the substrate. Nevertheless, the main issue and challenge in the engineering process is warpage, which is fostered by the discrepancy of the coefficient of thermal expansion between the heterogeneous materials. Given the whole real industrial process, the warpage of all stages is prompted by utilizing the continuity simulation. According to the process modeling, the post-processes are exclusively taken into account, such as: post-mold cure (PMC), temporary bonding, after formation passivation one (FP1), after solder mask formation (SMF) and de-bonding. For these five main steps of the rapid change of expansion and contraction in the compression molding process, based on the composite material curing reaction kinetics, the element birth and death methods are utilized. Moreover, to the best of our knowledge, it s the first time to analysis the influence of cavity and cutting channel model on warpage. Hence, a bar-level finite element model rather than a quarter-wafer-level finite element model is utilized. Experimental tests were conducted on 8-inch wafers to verify the simulation results. Compared with the experimental results, both finite element models have proved the effectiveness and accuracy of warpage prediction.

Volume None
Pages 1-5
DOI 10.1109/ICEPT52650.2021.9567923
Language English
Journal 2021 22nd International Conference on Electronic Packaging Technology (ICEPT)

Full Text