Archive | 2019

Overlay error investigation for metal containing resist (MCR)

 
 
 
 
 
 
 
 
 
 

Abstract


Metal containing resists (MCR) are one of the candidates for Extreme Ultraviolet (EUV) resists aiming to achieve the resolution, linewidth roughness and sensitivity (RLS) requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (~18nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high volume manufacturing (HVM). In this paper, we investigate overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR) it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.

Volume 10959
Pages 1095905 - 1095905-7
DOI 10.1117/12.2516154
Language English
Journal None

Full Text