Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop | 2019

Fault Intensity Map Analysis with Neural Network Key Distinguisher

 
 
 

Abstract


Physical cryptographic implementations are vulnerable to side-channel attacks, including fault attacks, which can be used to recover a secret key. Using a deep neural network (NN) with fault intensity map analysis (FIMA), we present a new highly efficient statistical fault analysis technique called FIMA-NN. This technique employs a convolutional neural network (CNN) to rank the key candidates based on multiple features in data distribution under fault with varying intensities, and generalizes most existing statistical techniques including fault sensitivity analysis (FSA), differential fault intensity analysis (DFIA), statistical ineffective fault analysis (SIFA), and FIMA. As FIMA-NN does not rely on a single feature of data distribution, it is successful even in the presence of a wide variety of countermeasures against fault analysis. Using a simulated fault mechanism on an FPGA implementation of AES, we demonstrate that, in terms of required amount of collected ciphertexts, FIMA-NN is 7.3 and 4.5 times more efficient than statistical techniques using bias alone, at low and medium fault intensities, respectively. Further, in the presence of error-detection and infective countermeasures, FIMA-NN is 10.7 and 7.9 times more efficient than biased-based techniques, respectively.

Volume None
Pages None
DOI 10.1145/3338508.3359572
Language English
Journal Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop

Full Text