Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Emily Gallagher is active.

Publication


Featured researches published by Emily Gallagher.


Proceedings of SPIE | 2016

EUV lithography imaging using novel pellicle membranes

Ivan Pollentier; Johannes Vanpaemel; Jae Uk Lee; Christoph Adelmann; Houman Zahedmanesh; Cedric Huyghebaert; Emily Gallagher

EUV mask protection against defects during use remains a challenge for EUV lithography. A stand-off protective membrane – a pellicle – is targeted to prevent yield losses in high volume manufacturing during handling and exposure, just as it is for 193nm lithography. The pellicle is thin enough to transmit EUV exposure light, yet strong enough to remain intact and hold any particles out of focus during exposure. The development of pellicles for EUV is much more challenging than for 193nm lithography for multiple reasons including: high absorption of most materials at EUV wavelength, pump-down sequences in the EUV vacuum system, and exposure to high intensity EUV light. To solve the problems of transmission and film durability, various options have been explored. In most cases a thin core film is considered, since the deposition process for this is well established and because it is the simplest option. The transmission specification typically dictates that membranes are very thin (~50nm or less), which makes both fabrication and film mechanical integrity difficult. As an alternative, low density films (e.g. including porosity) will allow thicker membranes for a given transmission specification, which is likely to improve film durability. The risk is that the porosity could influence the imaging. At imec, two cases of pellicle concepts based on reducing density have been assessed : (1) 3D-patterned SiN by directed self-assembly (DSA), and (2) carbon nanomaterials such as carbon nanotubes (CNT) and carbon nanosheets (CNS). The first case is based on SiN membranes that are 3D-patterned by Directed Self Assembly (DSA). The materials are tested relative to the primary specifications: EUV transmission and film durability. A risk assessment of printing performance is provided based on simulations of scattered energy. General conclusions on the efficacy of various approaches will provided.


Proceedings of SPIE | 2015

Correlation of actinic blank inspection and experimental phase defect printability on NXE3x00 EUV scanner

Rik Jonckheere; D. Van den Heuvel; Noriaki Takagi; Hidehiro Watanabe; Emily Gallagher

One challenge of extreme ultraviolet (EUV) mask defectivity is the severe printability of defects of the multi-layer (ML) mirror on the mask. These ML-defects are just nanometer high or deep local distortions of this ML mirror. Dedicated blank inspection tools have become available over time. One of them is the actinic blank inspection tool under development through EIDEC (Lasertec ABI). EIDEC and imec have jointly correlated its blank defect detection capability to wafers printed on ASML NXE3100 and NXE3300 scanners. Printing ML-defects were identified by wafer inspection, followed by subsequent repeater analysis, and correlated back to blank inspection. Forward correlation of ABI detections to the printed wafer was also successfully undertaken. The focus of this work has been on native defects. This paper will discuss the obtained results from the perspective of how to use ABI to assess which kind of native ML defects need to be avoided during blank fabrication.


Proceedings of SPIE | 2016

Assist features: placement, impact, and relevance for EUV imaging

Iacopo Mochi; Vicky Philipsen; Emily Gallagher; Eric Hendrickx; Kateryna Lyakhova; Friso Wittebrood; Guido Schiffelers; Timon Fliervoet; Shibing Wang; Vince Plachecki; Stan Baron; Bart Laenens

Assist features are commonly used in DUV lithography to improve the lithographic process window of isolated features under illumination conditions that enable the printability of dense features. With the introduction of EUV lithography, the interaction between 13.5 nm light and the mask features generates strong mask 3D effects. On wafer, the mask 3D effects manifest as pitch-dependent best focus positions, pattern asymmetries and image contrast loss. To minimize the mask 3D effects, and enhance the lithographic process window, we explore by means of wafer print evaluation the use of assist features with different sizes and placements. The assist features are placed next to isolated features and two bar structures, consistent with theN5 (imec iN7) node dimensions for 0.33NA and we use different types of off-axis illumination . For the generic iN7 structures, wafer imaging will be compared to simulation results and an assessment of optimal assist feature configuration will be made. It is also essential to understand the potential benefit of using assist features and to weigh that benefit against the price of complexity associated with adding sub-resolution features on a production mask. To that end, we include an OPC study that compares a layout treated with assist features, to one without assist features, using full-chip complexity metrics like data size.


Photomask Technology 2015 | 2015

Properties and performance of EUVL pellicle membranes

Emily Gallagher; Johannes Vanpaemel; Ivan Pollentier; Houman Zahedmanesh; Christoph Adelmann; Cedric Huyghebaert; Rik Jonckheere; Jae Uk Lee

EUV mask protection during handling and exposure remains a challenge for high volume manufacturing using EUV scanners. A thin, transparent membrane can be mounted above the mask pattern so that any particle that falls onto the front of the mask is held out of focus and does not image. The fluoropolymer membranes that are compatible with 193nm lithography absorb too strongly at the 13.5nm EUV exposure wavelength to be considered. Initially, the industry planned to expose EUV masks without any pellicle; however, the time and cost of fabricating and qualifying an EUV mask is simply too high to risk decimating wafer yield each time a particle falls onto the mask pattern. Despite the challenges of identifying a membrane for EUV, the industry has returned to the pellicle concept for protection. EUVL pellicles have been in development for more than a decade and reasonable options exist. Meeting all pellicle requirements is difficult, so this type of risk-mitigation effort is needed to ensure that there is a viable high-volume manufacturing option. This paper first reviews the desired membrane properties for EUVL pellicles. Next, candidate materials are introduced based on reported properties and compatibility with fabrication. Finally a set of candidate membranes are fabricated. These membranes are screened using a simplified set of tests to assess their suitability as an EUV pellicle. EUV transmission, film stress, and film durability data are included. The results are presented along with general guidelines for pellicle membrane properties for EUV manufacturing.


Proceedings of SPIE | 2017

Single exposure EUV patterning of BEOL metal layers on the IMEC iN7 platform

V. M. Blanco Carballo; Joost Bekaert; Ming Mao; B. Kutrzeba Kotowska; Stephane Larivière; Ivan Ciofi; Rogier Baert; Ryoung-Han Kim; Emily Gallagher; Eric Hendrickx; Ling Ee Tan; Werner Gillijns; Darko Trivkovic; Philippe Leray; Sandip Halder; M. Gallagher; Frederic Lazzarino; Sara Paolillo; Danny Wan; Arindam Mallik; Yasser Sherazi; G. McIntyre; Mircea Dusa; P. Rusu; Thijs Hollink; Timon Fliervoet; Friso Wittebrood

This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho and after etch, and variability was characterized both with conventional CD-SEM measurements as well as Hitachi contouring method. After analyzing the patterning of these layers, the impact of variability on potential interconnect reliability was studied by using MonteCarlo and process emulation simulations to determine if current litho/etch performance would meet success criteria for the given platform design rules.


Proceedings of SPIE | 2017

Reticle enhancement techniques toward iN7 metal2

Werner Gillijns; Ling Ee Tan; Youssef Drissi; Victor Blanco; Darko Trivkovic; Ryoung-Han Kim; Emily Gallagher; G. McIntyre

The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent metal layers1. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5. Regarding the metal 2 layer, imec is evaluating two integration approaches: EUV single print and SAQP+EUV Block. Extensive work is reported on both approaches2,3. The work detailed in this paper will deal about the computational work done prior to tape-out for the EUV direct print option. We will discuss the EUV source mask optimization for an ASML NXE:3300 EUV scanner. Afterwards we will shortly touch upon OPC compact modeling and more extensively on OPC itself. Based on the current design rules and MRC, printability checks indicate that only limited process windows are obtained. We propose ways to improve the printability through MRC and design. Applying those changes can potentially lead to a sufficient process window.


Proceedings of SPIE | 2017

SAQP and EUV block patterning of BEOL metal layers on IMEC's iN7 platform

Joost Bekaert; Paolo Di Lorenzo; Ming Mao; Stefan Decoster; Stephane Larivière; Joern-Holger Franke; Victor M. Blanco Carballo; Bogumila Kutrzeba Kotowska; Frederic Lazzarino; Emily Gallagher; Eric Hendrickx; Philippe Leray; R. Ryoung-han Kim; Greg McIntyre; Paul Colsters; Friso Wittebrood; Joep van Dijk; Mark Maslow; Vadim Timoshkov; Ton Kiers

The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5. Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM. In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.


Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015

EUV scanner printability evaluation of natural blank defects detected by actinic blank inspection

Noriaki Takagi; Hidehiro Watanabe; Dieter Van den Heuvel; Rik Jonckheere; Emily Gallagher

In this study, on-wafer printability test results of native blank defects on an EUV reticle, previously detected on the ABI (Actinic blank inspection) tool, were interpreted with on-mask analysis. One of the main factors that affects printability is the relative defect position to the absorber pattern. The ABI tool has been used for this purpose, by means of on-mask review. Subsequently, by removing covered defects (blank defects which are covered with absorber pattern), a clear relationship between DSI (ABI defect signal intensity) and printability was confirmed. By considering a relationship between relative defect position and printability precisely, a tentative printability threshold was defined with DSI. This result suggests that DSI has valuable information to define printability threshold, and shows significance of ABI inspection.


Photomask Technology 2018 | 2018

Experimental evaluation of the impact of EUV pellicles on reticle imaging

Iacopo Mochi; Rajendran Rajeev; Patrick Helfenstein; Sara Fernandez; Dimitrios Kazazis; Yasin Ekinci; Emily Gallagher; Marina Timmermans; Marina Mariano Juste; Ivan Pollentier

The purpose of EUV pellicles is to protect the surface of EUV lithography masks from particle contamination. Currently several pellicle prototypes are being developed. It is important to ensure that the optical characteristics of the pellicle membrane do not critically affect the reticle image quality. We present here a study of the impact of a few selected EUV pellicle prototypes on the quality and the contrast of the reticle image obtained with an actinic lensless microscope.


Extreme Ultraviolet (EUV) Lithography IX | 2018

CNTs in the context of EUV pellicle history

Emily Gallagher; Marina Timmermans; Ivan Pollentier; Jae Uk Lee; Marina Mariano; Christoph Adelmann; Cedric Huyghebaert; Frank Scholze; Christian Laubis

In the early 2000s, membranes both thin enough to transmit EUV light and strong enough to be free-standing at mask dimensions did not exist. The lithography community assumed that defect control for photomasks would be achieved, not with a pellicle, but with a clean scanner environment, thermophoretic protection and a removable pellicle.1 In 2006, Intel published their research on an EUV pellicle.2 Since then, an international development effort on EUV pellicle membranes has spanned a range of materials and fabrication approaches. Not only materials, but also the requirements of the EUV pellicle membrane have evolved over time. Imec’s pellicle work based on carbon nanotubes (CNTs) started in 2015, and is placed in relation to the rich history of EUV pellicles. CNTs are one-atom-thick carbon sheets rolled into tubes. The CNTs can be single- or multi-walled and can vary in diameter and in length. These engineered CNTs can be arranged in different configurations to form membranes of different densities. Thus, the CNT membrane’s properties can be fundamentally changed to meet the EUV pellicle targets for properties like transmittance. The historical trends in EUV pellicle membrane development are presented and the CNT membranes are described in that context.

Researchain Logo
Decentralizing Knowledge