Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Aaron Cordes is active.

Publication


Featured researches published by Aaron Cordes.


SPIE Photomask Technology | 2011

Printability of native blank defects and programmed defects and their stack structures

Hyuk Joon Kwon; Jenah Harris-Jones; Ranganath Teki; Aaron Cordes; Toshio Nakajima; Iacopo Mochi; Kenneth A. Goldberg; Yuya Yamaguchi; Hiroo Kinoshita

We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). We used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECHs actinic inspection tool (AIT) was used to image defects and predict their printability. Defect images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM) dimensions. The printability of native and programmed defects was also investigated.


Proceedings of SPIE | 2013

Gaps analysis for CD metrology beyond the 22nm node

Benjamin Bunday; Thomas A. Germer; Victor Vartanian; Aaron Cordes; Aron Cepler; Charles Settens

This paper will examine the future for critical dimension (CD) metrology. First, we will present the extensive list of applications for which CD metrology solutions are needed, showing commonalities and differences among the various applications. We will then report on the expected technical limits of the metrology solutions currently being investigated by SEMATECH and others in the industry to address the metrology challenges of future nodes, including conventional CD scanning electron microscopy (CD-SEM) and optical critical dimension (OCD) metrology and new potential solutions such as He-ion microscopy (HeIM, sometimes elsewhere referred to as HIM), CD atomic force microscopy (CD-AFM), CD small-angle x-ray scattering (CD-SAXS), high-voltage scanning electron microscopy (HV-SEM), and other types. A technical gap analysis matrix will then be demonstrated, showing the current state of understanding of the future of the CD metrology space.


Proceedings of SPIE | 2011

Experimental validation of 2D profile photoresist shrinkage model

Benjamin Bunday; Aaron Cordes; Andy Self; Lorena Ferry; Alex Danilevsky

For many years, lithographic resolution has been the main obstacle in allowing the pace of transistor densification to meet Moores Law. For the 32 nm node and beyond, new lithography techniques will be used, including immersion ArF (iArF) lithography and extreme ultraviolet lithography (EUVL). As in the past, these techniques will use new types of photoresists with the capability to print smaller feature widths and pitches. These smaller feature sizes will also require the use of thinner layers of photoresists, such as under 100 nm. In previous papers, we focused on ArF and iArF photoresist shrinkage. We evaluated the magnitude of shrinkage for both R&D and mature resists as a function of chemical formulation, lithographic sensitivity, scanning electron microscope (SEM) beam condition, and feature size. Shrinkage results were determined by the well accepted methodology described in SEMATECHs CD-SEM Unified Specification. In other associated works, we first developed a 1-D model for resist shrinkage for the bottom linewidth and then a 2-D profile model that accounted for shrinkage of all aspects of a trapezoidal profile along a given linescan. A fundamental understanding of the phenomenology of the shrinkage trends was achieved, including how the shrinkage behaves differently for different sized and shaped features. In the 1-D case, calibration of the parameters to describe the photoresist material and the electron beam was all that was required to fit the models to real shrinkage data, as long as the photoresist was thick enough that the beam could not penetrate the entire layer of resist. The later 2-D model included improvements for solving the CD shrinkage in thin photoresists, which is now of great interest for upcoming realistic lithographic processing to explore the change in resist profile with electron dose and to predict the influence of initial resist profile on shrinkage characteristics. The 2-D model also included shrinkage due to both the primary electron beam directly impacting the profile and backscattered electrons from the electron beam impacting the surrounding substrate. This dose from backscattering was shown to be an important component in the resist shrinkage process, such that at lower beam energies, it dominates linewidth shrinkage. In this work, results from a previous paper will be further explored with numerically simulated results and compared to experimental results to validate the model. With these findings, we can demonstrate the state of readiness of these models for predicting the shrinkage characteristics of photoresist measurements and estimating the errors in calculating the original CD from the shrinkage trend.


Proceedings of SPIE | 2009

Phenomenology of electron-beam induced photoresist shrinkage trends

Benjamin Bunday; Aaron Cordes; John Allgair; Vasiliki Tileli; Yohanan Avitan; Ram Peltinov; Maayan Bar-Zvi; Ofer Adan; Eric Cottrell; Sean Hand

For many years, lithographic resolution has been the main obstacle in keeping the pace of transistor densification to meet Moores Law. For the 45 nm node and beyond, new lithography techniques are being considered, including immersion ArF (iArF) lithography and extreme ultraviolet lithography (EUVL). As in the past, these techniques will use new types of photoresists with the capability to print 45 nm node (and beyond) feature widths and pitches. In a previous paper [1], we focused on ArF and iArF photoresist shrinkage. We evaluated the magnitude of shrinkage for both R&D and mature resists as a function of chemical formulation, lithographic sensitivity, scanning electron microscope (SEM) beam condition, and feature size. Shrinkage results were determined by the well accepted methodology described in ISMIs CD-SEM Unified Specification [2]. A model for resist shrinkage, while derived elsewhere [3], was presented, that can be used to curve-fit to the shrinkage data resulting from multiple repeated measurements of resist features. Parameters in the curve-fit allow for metrics quantifying total shrinkage, shrinkage rate, and initial critical dimension (CD) from before e-beam exposure. The ability to know this original CD is the most desirable result; in this work, the ability to use extrapolation to solve for a given original CD value was also experimentally validated by CD-atomic force microscope (AFM) reference metrology. Historically, many different conflicting shrinkage results have been obtained among the many works generated through the litho-metrology community. This work, backed up by an exhaustive dataset, will present an explanation that makes sense of these apparent discrepancies. Past models for resist shrinkage inherently assumed that the photoresist line is wider than the region of the photoresist to be shrunk [3], or, in other words, the e-beam never penetrates enough to reach all material in the interior of a feature; consequently, not all photoresist is affected by the shrinkage process. In actuality, there are two shrinkage regimes, which are dependent on resist feature CD or thickness. Past shrinkage models are true for larger features. However, our results show that when linewidth becomes less than the eventual penetration depth of the e-beam after full shrinkage, the apparent shrinkage magnitude decreases while shrinkage speed accelerates. Thus, for small features, most shrinkage occurs within the first measurement. This is crucial when considering the small features to be fabricated by immersion lithography. In this work, the results from the previous paper [1] will be shown to be consistent with numerically simulated results, thus lending credibility to the postulations in [1]. With these findings, we can conclude with observations about the readiness of SEM metrology for the challenges of both dry and immersion ArF lithographies as well as estimate the errors involved in calculating the original CD from the shrinkage trend.


Proceedings of SPIE | 2014

CD-SEM metrology for sub-10nm width features

Benjamin Bunday; Aron Cepler; Aaron Cordes; Abraham Arceo

This paper will explore the possibilities of critical dimension scanning electron microscope (CD-SEM) metrology at sub- 10 nm feature sizes using modeling. JMONSEL simulations will be used to illustrate SEM waveforms for very small features, as a function of beam energy, feature size, profile height and sidewall angle. It will also be shown that the dimensions of the electron beam and interaction volume have very strong influence on the results. Using modeled results, an assessment on required image quality for future tools will be presented, along with a framework for linking spot size and image resolution. Additionally, from the generated waveforms, various measurement algorithms will be evaluated for such future nanometer-scale applications.


Proceedings of SPIE | 2012

EUV mask multilayer defects and their printability under different multilayer deposition conditions

Hyuk Joo Kwon; Jenah Harris-Jones; Aaron Cordes; Masaki Satake; Ying Li; Iacopo Mochi; Kenneth A. Goldberg

Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such major issue and inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult using deep ultraviolet (DUV) inspection tools; however, if the actinic inspection tool (AIT) could gather defect information from more multilayer (ML) stacks, it may be able to separate printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in mask making. In this paper, we describe the characterization of programmed ML phase defects in the manufacturing of EUV mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). Programmed defects of various dimensions were prepared using e-beam patterning technology and Mo/Si MLs were deposited with SEMATECHs best known method (BKM) and pit smoothing conditions on programmed defects to characterize ML phase defects. Atomic force microscopy (AFM) and transmission electron microscopy (TEM) were used to study ML profile changes, while SEMATECHs AIT was used to image ML phase defects and predict their printability. Multilayer defect reconstruction (MDR) was done using AFM images, which were then compared to TEM images. Defect printability simulation (DPS) was used for comparison to AIT through-focus images. 22 nm, 27 nm, and 32 nm line and space (L/S) absorber patterns were positioned on top of programmed ML phase defects and simulated defect printability. The ML phase defects are located at the edge of L/S patterns and at the center of space patterns and Bossung plot was used to separate printable defects from unprintable defects.


Journal of Micro-nanolithography Mems and Moems | 2012

Time-dependent electron-beam-induced photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

We explore how photoresist shrinkage behavior due to e-beam measurement by critical dimension-scanning electron microscope (CD-SEM) depends on various time-related factors. This will include an investigation of how the photoresist critical dimension (CD) and CD shrinkage varies with photoresist age and the differences in shrinkage trends between load/unload and static and dynamic repeatability cases, where time between measurements is a key variable. The results for this typical immersion argon flouride photoresist process will show that resist CD and shrinkage variation due to resist age and vacuum-cycling is insignificant, yet the shrinkage is strongly linked to time between consecutive measurements, with a well-defined, high-certainty logarithmic decay with time. These experiments identify a key difference between the shrinkage seen in static versus dynamic measurements, which will be shown to have far-reaching implications for the shrinkage phenomenon in general and for the best-known methods for executing CD-SEM metrology with photoresist samples.


Proceedings of SPIE | 2011

Tool-to-tool matching issues due to photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

Photoresist shrinkage is an important systematic uncertainty source in critical dimension-scanning electron microscope (CD-SEM) metrology of lithographic features. In terms of metrology gauge metrics, it influences both the precision and the accuracy of CD-SEM measurements, while locally damaging the sample. Minimization or elimination of shrinkage is desirable, yet elusive. Because this error source will furthermore be a factor in CD-SEM metrology on polymer materials, learning to work around this issue is necessary. Tool-to-tool matching is another important component of measurement uncertainty that metrologists must control in high volume manufacturing, and photoresist samples are a most difficult case due to shrinkage effects, as tool-to-tool biases can vary based on the sample or other parameters. In this work, we explore different shrinkage effects and their influence on matching. This will include an investigation of how the photoresist shrinkage rate varies with time from the chemical development of the photoresists, which necessitates that measurements on different tools within a group be performed in rapid succession to avoid additional error. The differences in shrinkage rates between static and dynamic load/unload cases will also be addressed, as these effects also influence matching. The results of these dynamic effect experiments will be shown to have far-reaching implications for the shrinkage phenomenon in general. Finally, various sampling schemes for matching will be explored, through both simulation and experiment, for use with shrinking materials. Included is a method whereby various fleet tools measure different locations, once per tool, within a uniform line/space grating. Finally, we will assess how well matching can be achieved using these techniques.


Journal of Micro-nanolithography Mems and Moems | 2014

Assessment of critical dimension small-angle x-ray scattering measurement approaches for FinFET fabrication process monitoring

Charles Settens; Aaron Cordes; Benjamin Bunday; Abner Bello; Vimal Kamineni; Abhijeet Paul; Jody A. Fronheiser; Richard J. Matyi

Abstract. We have used synchrotron-based critical dimension small-angle x-ray scattering (CD-SAXS) to monitor the impact of hydrogen annealing on the structural characteristics of silicon FinFET structures fabricated using self-aligned double patterning on both bulk silicon and silicon-on-insulator (SOI) substrates. H2 annealing under different conditions of temperature and gas pressure allowed us to vary the sidewall roughness and observe the response in the two metrology approaches. In the case of the simpler bulk Si FinFET structures, the CD-SAXS measurements of the critical dimensions are in substantive agreement with the top–down critical dimension scanning electron microscopy metrology. Corresponding characterizations on SOI-based FinFET structures showed less agreement, which is attributed to the more complex structural model required for SOI FinFET CD-SAXS modeling. Because sidewall roughness is an important factor in the performance characteristics of Si FinFETs, we have compared the results of roughness measurements using both critical dimension atomic force microscopy (CD-AFM) and CD-SAXS. The measurements yield similar estimates of sidewall roughness, although the CD-AFM values were typically larger than those generated by CD-SAXS. The reasons for these differences will be discussed.


IEEE Transactions on Nanotechnology | 2016

CAFM Experimental Considerations and Measurement Methodology for In-Line Monitoring and Quantitative Analysis of III–V Materials Defects

M. Porti; V. Iglesias; Q. Wu; C. Couso; S. Claramunt; M. Nafria; Aaron Cordes; G. Bersuker

To continue technology scaling, a new generation of high-performance devices are considered to be implemented using III-V semiconductors, which need to be grown over the conventional Si substrate. However, due to the lattice mismatch between the III-V and silicon materials, the former tend to develop significant density of structural defects [specifically, threading dislocations (TDs)], which can adversely affect device electrical characteristics. Conductive atomic force microscope (CAFM) technique is among the most promising tools for the identification and analysis of TDs in a nanoscale range although obtaining reliable quantitative data requires precise controls over the measurements conditions. In this study, CAFM technique has been applied for TDs detection and analysis in III-V films, and tool requirements and measurement methodology are discussed.

Collaboration


Dive into the Aaron Cordes's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

M. Nafria

Autonomous University of Barcelona

View shared research outputs
Top Co-Authors

Avatar

M. Porti

Autonomous University of Barcelona

View shared research outputs
Top Co-Authors

Avatar

V. Iglesias

Autonomous University of Barcelona

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge