Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Allen G. Timko is active.

Publication


Featured researches published by Allen G. Timko.


Journal of Vacuum Science & Technology B | 1998

193 nm single layer resist strategies, concepts, and recent results

Omkaram Nalamasu; F. M. Houlihan; Raymond A. Cirelli; Allen G. Timko; G. P. Watson; Richard S. Hutton; J. M. Kometani; Elsa Reichmanis; Allen H. Gabor; Arturo N. Medina; Sydney G. Slater

Matrix resins used in conventional resists are not suitable for use at 193 nm due to their opacity. Hence new materials that are functionally similar to but structurally different from novolac and poly(hydroxy styrene) are required for 193 nm lithography. We report on the use of alternating copolymers of cycloolefins with maleic anhydride as effective 193 nm matrix resins, with or without dissolution inhibitors based on polyfunctional cholates, for 193 nm lithography. Due to their structural diversity, the required high transparency and etch stability, compatibility with industry standard 0.262 N tetramethyl ammonium hydroxide (TMAH) can be built into the polymer by conventional free redical polymerization techniques. A correlation between the molecular properties of the resist components (matrix resin, dissolution inhibitor, photoacid generator, and base additive) and resist lithographic performance parameters is illustrated. The formulations containing dissolution inhibitors currently show 0.13 μm line/...


Journal of Vacuum Science & Technology B | 1997

Resist design concepts for 193 nm lithography: Opportunities for innovation and invention

Elsa Reichmanis; Omkaram Nalamasu; F. M. Houlihan; T. I. Wallow; Allen G. Timko; Raymond A. Cirelli; Gary Dabbagh; Richard S. Hutton; Anthony E. Novembre; B. W. Smith

Photolithography using 193 nm radiation is the leading candidate for the manufacture of 0.18–0.13 μm design rule devices. The optical absorption of materials such as novolacs, and functionalized poly(hydroxystyrenes) and styrene-acrylate copolymers which are the matrix materials of choice for G line, I line, and 248 nm lithography is significantly higher than one at 193 nm making them too opaque to be useful at this shorter wavelength. The opacity of the current photoresists at 193 nm requires innovation in designing alternative materials and processes to realize the full potential of 193 nm (ArF) lithography. From a materials standpoint, this challenge must be addressed by new chemistries and process schemes capable of providing resists with the aqueous base solubility, etching resistance, resolution, photospeed, and process latitude required for large-scale manufacturing. In addition, regulatory constraints on volatile organic chemical emissions have spurred efforts to design revolutionary resist platfo...


Advances in resist technology and processing. Conference | 1997

Recent advances in 193 nm single-layer photoresists based on alternating copolymers of cycloolefins

Francis M. Houlihan; Thomas Ingolf Wallow; Allen G. Timko; E. Neria; Richard S. Hutton; Raymond A. Cirelli; Omkaram Nalamasu; Elsa Reichmanis

We report on our recent investigations on the formulation and processing of 193 nm single layer photoresists based on alternating copolymers of cycloolefins with maleic anhydride. Resists formulated with cycloolefin copolymers are compatible with 0.262 N tetramethylammonium developers, have excellent adhesion, sensitivity, etch resistance and thermal flow properties. The effect of polymer structure and composition, dissolution inhibitor structure and loading as well as the effect of the photoacid generator on the resist dissolution properties was investigated. Based on the results high contrast formulations were evaluated on a GCA XLS (NA equals 0.53, 4X reduction optics) deep-UV stepper to exhibit 0.27 micrometer L/S pair resolution with excellent photosensitivity. Based on the dissolution properties and a spectroscopic examination of the resist, we have designed materials that show less than 0.17 micrometer L/S pair resolution with 193 nm exposures. In this paper, the formulation methodology is detailed and the most recent results upon both with 248 and 193 nm irradiation are described.


Advances in Resist Technology and Processing XVI | 1999

Resist outgassing as a function of differing photoadditives

Francis M. Houlihan; Ilya L. Rushkin; Richard S. Hutton; Allen G. Timko; Omkaram Nalamasu; Elsa Reichmanis; Allen H. Gabor; Arturo N. Medina; Sanjay Malik; M. Neiser; Roderick R. Kunz; Deanna K. Downs

The effect of different photoadditives in high and low activation energy resist resins on resist outgassing during lithographic exposure was studied by quartz microbalance and gas chromatography/mass spectroscopy techniques. The resist outgassing was analyzed both qualitatively and quantitatively and structure-property relationships were developed between resist outgassing and the molecular structure of photoacid generators and additives. The photoadditives examined include, aryl iodonium perfluoroalkylsulfonates, triarylsulfonium perfluoroakylsulfonates, photogenerators of sulfamic acids, 2-nitrobenzyl PAGs and doxyl derivatives.


23rd Annual International Symposium on Microlithography | 1998

193-nm single-layer photoresists based on alternating copolymers of cycloolefins: the use of photogenerators of sulfamic acids

Francis M. Houlihan; J. M. Kometani; Allen G. Timko; Richard S. Hutton; Raymond A. Cirelli; Elsa Reichmanis; Omkaram Nalamasu; Allen H. Gabor; Arturo N. Medina; John J. Biafore; Sydney G. Slater

Single layer resists for 193 nm based upon resins derived from alternating copolymers of cycloolefins and maleic anhydride will be discussed. Our past work has examined the effect of polymer structure and composition, dissolution inhibitor structure and loading as well as the effect of the photoacid generator on the resist dissolution properties. In this paper, we will report upon on some of our recent investigations aimed at improving performance by use of a new class of photoreactive additives, photogenerators of aminosulfonic acids. One example of these, bis(t- butylphenyl)iodonium cyclamate, will be shown in our high activation 193 nm single layer resist system as being a useful photodecomposable base additive capable of limiting acid diffusion and alleviating post exposure bake delay effects. Finally, we will describe the utility of these materials in low activation energy (acetal based) resist systems.


Microelectronic Engineering | 1997

Revolutionary and evolutionary resist design concepts for 193 nm lithography

Omkaram Nalamasu; Thomas Ingolf Wallow; Elsa Reichmanis; Anthony E. Novembre; F. M. Houlihan; Gary Dabbagh; D.A. Mixon; Richard S. Hutton; Allen G. Timko; O.R. Wood; Raymond A. Cirelli

Abstract The intense absorption of aromatic molecules at 193 nm severely limits the use of conventional matrix aromatic resins such as novolac, poly vinyl phenol for 193 nm lithography. This paradigm shift in resist design provides opportunities for new chemistries and process schemes to provide the required aqueous base solubility, etch resistance, resolution, photospeed and process latitude. In addition, regulatory constraints on the volatile organic emissions (VOC) also provide opportunities to design revolutionary resist schemes that not only address the lithographic performance requirements but also alleviate the environmental safety and health (ES&H) aspects of resist technology. In this paper, we will analyze the several resist options available for 193 nm lithography and provide results for evolutionary single layer, bilayer and revolutionary “all-dry” plasma polymerized methyl silane (PPMS) resist schemes. For single layer schemes, we have synthesized several co- and terpolymers with cycloolefins, maleic anhydride and acrylates (acrylic, methacrylic acids and esters) and have used the protected polymers as matrix resins in three component systems with a photoacid generator (PAG) and dissolution inhibitor(s) (DI). Alternately, we have used the unprotected terpolymer with DIs and PAG in three component systems. The lithographic results for single layer and bilayer resist materials were obtained at 193 nm using a 0.55 NA Nikon or 0.56 NA ISI (Integrated Solutions Inc.) small field exposure systems. Single layer resist materials showed at least 0.16 μm l/s pair resolution and modulation down to 0.14 μm l/s pairs using a formulation and process optimized at 248 nm. We have also evaluated the performance of P(SI-CMS) (poly(trimethyl silyl methyl methacrylate-co-chloromethyl styrene), a negative e-beam resist, at 193 nm and have obtained 0.25 μm l/s pair resolution without much optimization. Structure-activity relationships between the polymer properties and lithographic performance for this system have been identified. The results obtained for PPMS, a plasma deposited all-dry resist technology, on the 193 nm Micrascan (0.50 NA) were also very encouraging. Again using a non-optimized process, we have obtained at least 0.15 μm l/s pair resolution in a bilayer scheme.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

New polymers for 193-nm single-layer resists based on substituted cycloolefins/maleic anhydride resins

Ilya L. Rushkin; Francis M. Houlihan; J. M. Kometani; Richard S. Hutton; Allen G. Timko; Elsa Reichmanis; Omkaram Nalamasu; Allen H. Gabor; Arturo N. Medina; Sydney G. Slater; Mark Neisser

A series of new polymers for 193 nm single layer resist based on maleic anhydride/cycloolefin systems with minimum amount of acrylate units were synthesized. In order to minimize the acrylate content, the cycloolefin moiety of the polymers was functionalized with side groups designed to either promotes adhesion to silicon substrate and/or impart the imaging functionality. All polymers were prepared by free-radical polymerization in moderate to high yields and were characterized by variety of techniques. The initial lithographic evaluation of the new resists was carried out. It was found that acrylates can be successfully replaced with appropriately substituted cycloolefins to provide good resolution. The etch resistance of the new materials generally improves with increase in cycloolefin content. The Onishi and Kunz type plots will be discussed.


Advances in Resist Technology and Processing XII | 1995

Structural design of ketal and acetal blocking groups in two-component chemically amplified positive DUV resists

Carlo Mertesdorf; Norbert Muenzel; Heinz Holzwarth; Pasquale Alfred Falcigno; Hans-Thomas Schacht; Ottmar Dr. Rohde; Reinhard Schulz; Sydney G. Slater; David Frey; Omkaram Nalamasu; Allen G. Timko; T. X. Neenan

In the present study, protecting groups of moderate stability, such as acetals and ketals, were investigated as pendant blocking groups in polyvinyl phenols. Polymers were obtained by reacting enol ethers with the phenolic side groups to form acetal or ketal blocked phenols. Decomposition temperatures, glass transition temperatures, and molecular weights of the resulting polymers were monitored and correlated with the protecting group structure. Stability of the protecting groups can be explained by protonation occurring at either of the two oxygen sites, making two cleavage routes possible. Secondary reactions of the released protecting groups in the resist film were investigated and discussed. The structure of the protecting group was designed in order to meet basic resist properties such as resolution/linearity, DOF, post exposure delay latitude and thermal stability. A Canon FPA 4500 (NA equals 0.37) and a GCA XLS exposure tool (NA equals 0.53) were used for the optimization process. A preoptimized resist formulation based on the above criteria exhibits 0.23 micrometers line/space resolution, 0.8 micrometers focus latitude at 0.25 micrometers resolution and approximately two hours post exposure delay latitude.


Advances in Resist Technology and Processing X | 1993

Dissolution rate modeling of a chemically amplified positive resist

Takeshi Ohfuji; Allen G. Timko; Omkaram Nalamasu; Douglas R. Stone

The reactions of a chemically amplified positive resist, CAMP6, which is composed of poly tert-butoxycarbonyl(t-BOC)-styrene copolymer as a base resin and 2,6-dinitrobenzyl tosylate as a photoacid generator, were analyzed. The resist system generates acid upon exposure, undergoes acid catalyzed t-BOC deprotection during post-exposure bake and selectively dissolves in an aqueous base developer to give positive tone images. The acid generation and t-BOC deprotection reactions were analyzed by FTIR spectrometer and modeled successfully. The dissolution rates were measured by a Perkin Elmer development rate monitor (DRM). A new and simple dissolution rate model is presented since the dissolution rate behavior of chemically amplified positive resists can not be explained by the conventional models that are designed primarily for novolac/dissolution inhibition systems. The new dissolution rate model expresses the resist dissolution as a product of inhibition effect by the t-BOC groups and dissolution enhancement effect by the acid. Furthermore this new model can also explain surface inhibition effect by considering acid loss (deactivation) due to airborne contaminant diffusion from the resist surface. Prolith/2 was modified to incorporate the model and profile simulations were carried out successfully.


Journal of Vacuum Science & Technology B | 2001

Linewidth reduction using liquid ashing for sub-100 nm critical dimensions with 248 nm lithography

Allen G. Timko; J. Frackoviak; L. C. Hopkins; F. Klemens; L. Trimble; Omkaram Nalamasu; George Patrick Watson; W. M. Mansfield; D. Barr; J. Li

The need for sub-100 nm semiconductor devices has driven our industry to develop new resists, exposure tools (248 nm, 193 nm, extreme ultraviolet, SCALPEL, etc.), mask technologies, and processing procedures. An enormous amount of research has gone into every aspect of the semiconductor device fabrication process and new techniques to further reduce the critical dimensions need to be investigated. The work that is reported on in this article identifies a process that is referred to as liquid ashing. Liquid ashing is a novel approach to linewidth reduction of resist features patterned with positive 248 nm deep-ultraviolet (DUV) resists. The lashing process reduces linewidth through the use of a second wet development step. This process is isotropic and can be continued once started. A bake prior to the second development improves process control. Various types of phase-shifted patterns have been measured and evaluated to determine the effectiveness of this process. This process has been exercised using dif...

Collaboration


Dive into the Allen G. Timko's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Elsa Reichmanis

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge