Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Anda C. Mocuta is active.

Publication


Featured researches published by Anda C. Mocuta.


symposium on vlsi technology | 2002

Characteristics and device design of sub-100 nm strained Si N- and PMOSFETs

K. Rim; Jack O. Chu; Huajie Chen; Keith A. Jenkins; Thomas S. Kanarsky; K. Y. Lee; Anda C. Mocuta; Huilong Zhu; R. Roy; J. Newbury; John A. Ott; K. Petrarca; P. M. Mooney; D. Lacey; Steven J. Koester; Kevin K. Chan; Diane C. Boyd; Meikei Ieong; H.-S.P. Wong

Current drive enhancements were demonstrated in the strained-Si PMOSFETs with sub-100 nm physical gate lengths for the first time, as well as in the NMOSFETs with well-controlled threshold voltage V/sub T/ and overlap capacitance C/sub OV/ characteristics for L/sub poly/ and L/sub eff/ below 80 nm and 60 nm. A 110% enhancement in the electron mobility was observed in the strained Si devices with 1.2% tensile strain (28% Ge content in the relaxed SiGe buffer), along with a 45% increase in the peak hole mobility.


international electron devices meeting | 2002

Extreme scaling with ultra-thin Si channel MOSFETs

Bruce B. Doris; Meikei Ieong; T. Kanarsky; Ying Zhang; R. Roy; O. Dokumaci; Zhibin Ren; Fen-Fen Jamin; Leathen Shi; Wesley C. Natzle; Hsiang-Jen Huang; J. Mezzapelle; Anda C. Mocuta; S. Womack; M. Gribelyuk; Erin C. Jones; R.J. Miller; H.-S.P. Wong; Wilfried Haensch

We examine the scaling limits for planar single gate technology using the ultra-thin Si channel MOSFET. Characteristics for extreme scaled devices with physical gate lengths down to 6 nm and SOI channels as thin as 4 nm are presented. For the first time, we report ring oscillators with 26 nm gate lengths and ultra-thin Si channels.


symposium on vlsi technology | 2005

High performance 65 nm SOI technology with dual stress liner and low capacitance SRAM cell

Effendi Leobandung; H. Nayakama; Dan Mocuta; K. Miyamoto; M. Angyal; H.V. Meer; K. McStay; I. Ahsan; Scott D. Allen; A. Azuma; M. Belyansky; R.-V. Bentum; J. Cheng; Dureseti Chidambarrao; B. Dirahoui; M. Fukasawa; M. Gerhardt; M. Gribelyuk; S. Halle; H. Harifuchi; D. Harmon; J. Heaps-Nelson; H. Hichri; K. Ida; M. Inohara; I.C. Inouc; Keith A. Jenkins; T. Kawamura; Byeong Y. Kim; S. Ku

A high performance 65 nm SOI CMOS technology is presented featuring 35 nm gate length, 1.05 nm gate oxide, performance enhancement from dual stress nitride liners (DSL), and 10 wiring levels with low-k dielectric offered in the first 8 levels. DSL enhancement is shown to scale well to 65 nm with larger enhancement seen than at 90 nm design rules. A high performance 0.65/spl mu/m/sup 2/ SRAM cell is also presented. SOI allows the SRAM cell to use Metal 1 instead of Metal 2 for bit-line wiring, which lowers the capacitance and improves access times. A functional dual-core microprocessor test chip containing 76Mb SRAM cache and key execution units has been fabricated.


Solid-state Electronics | 2003

Strained Si CMOS (SS CMOS) technology: opportunities and challenges

K. Rim; R.M. Anderson; Diane C. Boyd; F. Cardone; Kevin K. Chan; H. Chen; S. Christansen; Jack O. Chu; Keith A. Jenkins; T. Kanarsky; Steven J. Koester; B.H. Lee; Kam-Leung Lee; V. Mazzeo; Anda C. Mocuta; D. Mocuta; P. M. Mooney; P. Oldiges; John A. Ott; P. Ronsheim; R. Roy; A. Steegen; Min Yang; Huilong Zhu; Meikei Ieong; H.-S.P. Wong

Abstract Strain-induced enhancement of current drive is a promising way to extend the advancement of CMOS performance. Fabrication of strained Si MOSFET has been demonstrated with key elements of modern day’s CMOS technology. Significant mobility and current drive enhancements were observed. Recent advancements in the SS devices are summarized, and the challenges in device physics/design issues as well as in materials/process integration are highlighted.


international electron devices meeting | 2002

Low field mobility characteristics of sub-100 nm unstrained and strained Si MOSFETs

Kern Rim; Shreesh Narasimha; M. Longstreet; Anda C. Mocuta; J. Cai

A novel mobility extraction technique showed that the mobility enhancements in strained Si MOSFETs were retained in deep sub-100 nm channel lengths. Mobility measurement in devices with channel lengths down to 40 nm was demonstrated by a dR/dL extraction method. The results confirmed and quantified the mobility enhancements despite the presence of high halo doping in scaled strained Si MOSFETs.


international electron devices meeting | 2002

Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D

B.H. Lee; Anda C. Mocuta; Stephen W. Bedell; Huajie Chen; Devendra K. Sadana; Kern Rim; P. O'Neil; R. Mo; Kevin K. Chan; Cyril Cabral; Christian Lavoie; D. Mocuta; Ashima B. Chakravarti; R.M. Mitchell; J. Mezzapelle; F. Jamin; M. Sendelbach; H. Kermel; Michael A. Gribelyuk; A. Domenicucci; Keith A. Jenkins; Shreesh Narasimha; Suk Hoon Ku; Meikei Ieong; I.Y. Yang; Effendi Leobandung; Paul D. Agnello; Wilfried Haensch; Jeffrey J. Welser

High quality ultra-thin TM-SGOI substrate with T/sub SOI/ < 55 nm is developed to combine the device benefits of strained silicon and SOI. 80-90% Id,sat and electron mobility increase are shown in long channel nFET device. For the first time, 20-25% device performance enhancement is demonstrated at 55 nm short channel strained silicon SGOI nFET devices.


international electron devices meeting | 2001

High performance sub-40 nm CMOS devices on SOI for the 70 nm technology node

Shreesh Narasimha; A. Ajmera; Hui Wan Park; Dominic J. Schepis; N. Zamdmer; K.A. Jenkins; J.-O. Plouchart; Woo-Hyeong Lee; J. Mezzapelle; J. Bruley; Bruce B. Doris; Jeffrey W. Sleight; S.K.H. Fung; Suk Hoon Ku; Anda C. Mocuta; I. Yang; P. Gilbert; Karl Paul Muller; Paul D. Agnello; Jeffrey J. Welser

This work reports on a methodology for achieving high drive current and low gate delay that can be used for the 70 nm technology node. A combination of optimized device design and aggressive gate oxide scaling has been applied to fabricate transistors with saturation currents of 1080 uA/um (NFET, 1171 uA/um dynamic) and 490 uA/um (PFET, 507 uA/um dynamic) at I/sub off/ levels of 100 nA/um for 1.1 volt operation. The physical gate length (L/sub poly/) for these devices is 39 nm. The saturation currents increase to 1180 uA/um and 540 uA/um at I/sub off/ levels of 300 nA/um, which corresponds to gate delays of 0.61 ps and 1.25 ps for NFET and PFET, respectively. These are among the lowest CV/I values ever reported for conventional CMOS scaling. These devices also exhibit excellent high-frequency response, which makes this technology ideally suited for system-on-chip applications that require both high-frequency signal processing and high-speed digital logic. A record high NFET f/sub max/ of 193 GHz has been demonstrated along with an f/sub T/ of 178 GHz.


international electron devices meeting | 2004

Performance comparison and channel length scaling of strained Si FETs on SiGe-on-insulator (SGOI)

Jin Cai; Kern Rim; A. Bryant; Keith A. Jenkins; C. Ouyang; D.V. Singh; Zhibin Ren; K. Lee; H. Yin; J. Hergenrother; Thomas S. Kanarsky; Arvind Kumar; X. Wang; Stephen W. Bedell; H. Hovel; Devendra K. Sadana; D. Uriarte; R. Mitchell; John A. Ott; D. Mocuta; P. O'Neil; Anda C. Mocuta; Effendi Leobandung; R. Miller; Wilfried Haensch; M. Leong

The scaling behavior of current drive enhancements in strained-silicon NFETs on SiGe-on-insulator (SGOI) is reported. SGOI NFET enhancement exhibits only moderate channel length dependence down to sub-50 nm regime, indicating strain-induced enhancement can be sustained in future technology nodes. This is contrary to some previous reports which suggested dramatic reduction of strain-induced NFET current enhancement with channel length scaling. A novel analysis technique was developed to account for the difference in self-heating in SGOI and SOI devices to enable intrinsic device performance comparison. Additive effects of biaxial strain from the Si/SiGe heterostructure and process-induced uniaxial stress are experimentally demonstrated for the first time.


international electron devices meeting | 2000

Reliability issues for silicon-on-insulator

R. Bolam; Ghavam G. Shahidi; Fariborz Assaderaghi; M. Khare; Anda C. Mocuta; Terence B. Hook; E. Wu; Effendi Leobandung; S. Voldman; D. Badami

Understanding the reliability implications for silicon-on-insulator (SOI) is crucial for its use in ULSI technology. The fabrication process of SOI material and the device operation, due to the buried oxide (BOX) layer, could present additional concerns for meeting reliability requirements. In this paper, we discuss the reliability issues with silicon-on-insulator (SOI) technology. We focus on partially depleted (PD) SOI CMOS technology using SIMOX and bonded substrate material. We compare the reliability mechanisms, namely channel hot electron (CHE), gate oxide time dependent dielectric breakdown (TDDB), bias temperature stress (BTS) and plasma-induced charging damage, to bulk CMOS. In addition, results from high performance microprocessors subjected to burn-in stress are presented. Finally, we discuss the circuitry implications for electrostatic discharge (ESD).


symposium on vlsi technology | 2002

Mobility enhancement in strained Si NMOSFETs with HfO/sub 2/ gate dielectrics

K. Rim; E. P. Gusev; C. D'Emic; Thomas S. Kanarsky; Huajie Chen; Jack O. Chu; John A. Ott; Kevin K. Chan; Diane C. Boyd; V. Mazzeo; B.H. Lee; Anda C. Mocuta; J. Welser; S. Cohen; M. Leong; H.-S.P. Wong

Integration of strained Si and high-K gate dielectric is demonstrated for the first time. While providing a >1000/spl times/ gate leakage reduction, strained Si NMOSFETs with HfO/sub 2/ gate dielectric exhibit 60% higher mobility than the unstrained Si device with HfO/sub 2/ gate dielectrics, and 30% higher mobility than the conventional Si NMOSFETs with SiO/sub 2/ gate dielectric (universal MOSFET mobility).

Researchain Logo
Decentralizing Knowledge