Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Bala Haran is active.

Publication


Featured researches published by Bala Haran.


international electron devices meeting | 2008

22 nm technology compatible fully functional 0.1 μm 2 6T-SRAM cell

Bala Haran; Arvind Kumar; L. Adam; Josephine B. Chang; Veeraraghavan S. Basker; Sivananda K. Kanakasabapathy; Dave Horak; S. Fan; Jia Chen; J. Faltermeier; Soon-Cheon Seo; M. Burkhardt; S. Burns; S. Halle; Steven J. Holmes; Richard Johnson; E. McLellan; T. Levin; Yu Zhu; J. Kuss; A. Ebert; J. Cummings; Donald F. Canaperi; S. Paparao; John C. Arnold; T. Sparks; C. S. Koay; T. Kanarsky; Stefan Schmitz; Karen Petrillo

We demonstrate 22 nm node technology compatible, fully functional 0.1 mum2 6T-SRAM cell using high-NA immersion lithography and state-of-the-art 300 mm tooling. The cell exhibits a static noise margin (SNM) of 220 mV at Vdd=0.9 V. We also present a 0.09 mum2 cell with SNM of 160 mV at Vdd=0.9 V demonstrating the scalability of the design with the same layout. This is the worlds smallest 6T-SRAM cell. Key enablers include band edge high-kappa metal gate stacks, transistors with 25 nm gate lengths, thin spacers, novel co-implants, advanced activation techniques, extremely thin silicide, and damascene copper contacts.


Proceedings of SPIE | 2009

Integration of EUV lithography in the fabrication of 22-nm node devices

Obert Wood; Chiew-seng Koay; Karen Petrillo; Hiroyuki Mizuno; Sudhar Raghunathan; John C. Arnold; Dave Horak; Martin Burkhardt; Gregory McIntyre; Yunfei Deng; Bruno La Fontaine; Uzodinma Okoroanyanwu; Anna Tchikoulaeva; Tom Wallow; James Chen; Matthew E. Colburn; Susan S.-C. Fan; Bala Haran; Yunpeng Yin

On the road to insertion of extreme ultraviolet (EUV) lithography into production at the 16 nm technology node and below, we are testing its integration into standard semiconductor process flows for 22 nm node devices. In this paper, we describe the patterning of two levels of a 22 nm node test chip using single-exposure EUV lithography; the other layers of the test chip were patterned using 193 nm immersion lithography. We designed a full-field EUV mask for contact and first interconnect levels using rule-based corrections to compensate for the EUV specific effects of mask shadowing and imaging system flare. The resulting mask and the 0.25-NA EUV scanner utilized for the EUV lithography steps were found to provide more than adequate patterning performance for the 22 nm node devices. The CD uniformity across the exposure field and through a lot of wafers was approximately 6.1% (3σ) and the measured overlay on a representative test chip wafer was 13.0 nm (x) and 12.2 nm (y). A trilayer resist process that provided ample process latitude and sufficient etch selectivity for pattern transfer was utilized to pattern the contact and first interconnect levels. The etch recipes provided good CD control, profiles and end-point discrimination. The patterned integration wafers have been processed through metal deposition and polish at the contact level and are now being patterned at the first interconnect level.


Proceedings of SPIE | 2010

EUV lithography at the 22nm technology node

Obert Wood; Chiew-seng Koay; Karen Petrillo; Hiroyuki Mizuno; Sudhar Raghunathan; John C. Arnold; Dave Horak; Martin Burkhardt; Gregory McIntyre; Yunfei Deng; Bruno La Fontaine; Uzo Okoroanyanwu; Tom Wallow; Guillaume Landie; Theodorus E. Standaert; Sean D. Burns; Christopher J. Waskiewicz; Hirohisa Kawasaki; James Chen; Matthew E. Colburn; Bala Haran; Susan S.-C. Fan; Yunpeng Yin; Christian Holfeld; Jens Techel; Jan-Hendrik Peters; Sander Bouten; Brian Lee; Bill Pierson; Bart Kessels

We are evaluating the readiness of extreme ultraviolet (EUV) lithography for insertion into production at the 15 nm technology node by integrating it into standard semiconductor process flows because we believe that device integration exercises provide the truest test of technology readiness and, at the same time, highlight the remaining critical issues. In this paper, we describe the use of EUV lithography with the 0.25 NA Alpha Demo Tool (ADT) to pattern the contact and first interconnect levels of a large (~24 mm x 32 mm) 22 nm node test chip using EUV masks with state-of-the-art defectivity (~0.3 defects/cm2). We have found that: 1) the quality of EUVL printing at the 22 nm node is considerably higher than the printing produced with 193 nm immersion lithography; 2) printing at the 22 nm node with EUV lithography results in higher yield than double exposure double-etch 193i lithography; and 3) EUV lithography with the 0.25 NA ADT is capable of supporting some early device development work at the 15 nm technology node.


international interconnect technology conference | 2009

Copper contact metallization for 22 nm and beyond

Soon-Cheon Seo; Chih-Chao Yang; Chun-Chen Yeh; Bala Haran; Dave Horak; Susan Fan; Charles W. Koburger; Donald F. Canaperi; Satyavolu S. Papa Rao; F. Monsieur; Andreas Knorr; Andreas Kerber; Chao-Kun Hu; James Kelly; Tuan Vo; Jason E. Cummings; Matthew Smalleya; Karen Petrillo; Sanjay Mehta; Stefan Schmitz; T. Levin; Dae-Guy Park; James H. Stathis; Terry A. Spooner; Vamsi Paruchuri; Jean E. Wynne; Daniel C. Edelstein; Dale McHerron; Bruce B. Doris

We used Cu contact metallization to solve one of the critical challenges for 22 nm node technology. Cu contact metallization allowed us to demonstrate worlds smallest and fully functional 22 nm node 6T-SRAM [1]. Cu contact metallization was executed using CVD Ru-containing liner. We obtained early reliability data by thermally stressing bulk device. Bulk device parameters such as junction and gate leakage currents and overlap capacitance were stable after BEOL anneal stress. We also demonstrated the extendibility of Cu contact metallization using 15 nm contacts.


international interconnect technology conference | 2012

56 nm pitch copper dual-damascene interconnects with triple pitch split metal and double pitch split via

James Chen; Christopher J. Waskiewicz; Susan Su-Chen Fan; Scott Halle; Chiew-seng Koay; Yongan Xu; Nicole Saulnier; Chiahsun Tseng; Yunpeng Yin; Yann Mignot; Marcy Beard; Bryan Morris; Dave Horak; Sylvie Mignot; Hosadurga Shobha; Muthumanickam Sankarapandian; Oscar van der Straten; James Kelly; Donald F. Canaperi; Erin Mclellan; Carol Boye; T. Levin; Juntao Li; J. Demarest; Samuel Choi; Elbert E. Huang; Lars Liemann; Bala Haran; John C. Arnold; Matthew E. Colburn

This work demonstrates the building of a 56 nm pitch copper dual damascene interconnects which connects to the local interconnect level. This M1/V0 dual-damascene used a triple pitch split bi-directional M1 and a double pitch split contact (V0) scheme where the local interconnects are with double pitch split in each direction, respectively. This scheme will provide great design flexibility for the advanced logic circuits. The patterning scheme is multiple negative tone development lithography-etch. A memorization layer is utilized in the triple patterned M1 and the double patterned V0 levels, respectively. After transferring the two via levels into the metal memorization layer, a self-aligned-via (SAV) RIE scheme was used to create vias confined by line trenches such that via to line spacing is maximized for better reliability. Seven litho/etch steps (LIP1/LIP2/V0C1/V0C2/M1P1/M1P2/M1P3) were employed to present this revolutionary interconnects.


IEEE Electron Device Letters | 2016

Sub-

Hiroaki Niimi; Zuoguang Liu; Oleg Gluschenkov; Shogo Mochizuki; Jody A. Fronheiser; Juntao Li; J. Demarest; Chen Zhang; B. Liu; Jie Yang; Mark Raymond; Bala Haran; Huiming Bu; Tenko Yamashita

We report record low 8.4 × 10<sup>-10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity with laser-induced solid/liquid phase epitaxy of Si:P inside nano-scale contact trenches. Significant reduction of device resistance and resultant great gain of drain current has been demonstrated in scaled n-FinFETs with a contact length of 20 nm.


international symposium on vlsi technology, systems, and applications | 2007

10^{-9}~\Omega

Jay W. Strane; David E. Brown; Christian Lavoie; Jun Suenaga; Bala Haran; Patrick Press; Paul R. Besser; Philip L. Flaitz; Michael A. Gribelyuk; Thorsten Kammler; Igor Peidous; Huajie Chen; Stephan Waidmann; Asa Frye; Patrick W. DeHaven; Anthony G. Domenicucci; Conal E. Murray; Randolph F. Knarr; H.J. Engelmann; Christof Streck; Volker Kahlert; Sadanand V. Deshpande; Effendi Leobandung; John G. Pellerin; Jaga Jagannathan

Addition of Pt to Ni silicide produces a robust [NixPt(1-x)]Si, which shows an improved morphological stability, an important reduction in encroachment defect density, a reduced tendency to form NiSi2 and significant variations in monosilicide texture without degrading the device performance or the yield of high-performance 65 nm SOI technologies.


Archive | 2012

-cm2 n-Type Contact Resistivity for FinFET Technology

Thomas N. Adam; Kangguo Cheng; Bruce B. Doris; Bala Haran; Pranita Kulkarni; Amlan Majumdar; Stefan Schmitz


china semiconductor technology international conference | 2011

Implementation of Robust Nickel Alloy Salicide Process for High-Performance 65nm SOI CMOS Manufacturing

Qing Liu; Atsushi Yagishita; Arvind Kumar; Nicolas Loubet; Toyoji Yamamoto; Pranita Kulkarni; F. Monsieur; Ali Khakifirooz; Shom Ponoth; Kangguo Cheng; Bala Haran; M. Vinet; Jin Cai; Prasanna Khare; S. Monfray; F. Boeuf; Sanjay Mehta; J. Kuss; Effendi Leobandung; Masami Hane; Huiming Bu; K. Ishimaru; T. Skotnicki; Walter Kleemeier; Mariko Takayanagi; Terence B. Hook; Mukesh Khare; Scott Luning; Bruce B. Doris; R. Sampson


Archive | 2010

Semiconductor structure having NFET extension last implants

Lisa F. Edge; Hemanth Jagannathan; Bala Haran

Researchain Logo
Decentralizing Knowledge