Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Bradley J. Nordell is active.

Publication


Featured researches published by Bradley J. Nordell.


Journal of Applied Physics | 2015

The influence of hydrogen on the chemical, mechanical, optical/electronic, and electrical transport properties of amorphous hydrogenated boron carbide

Bradley J. Nordell; Sudarshan Karki; Thuong D. Nguyen; Paul Rulis; Anthony N. Caruso; Sudhaunshu S. Purohit; Han Li; Sean W. King; Dhanadeep Dutta; David W. Gidley; W. A. Lanford; Michelle M. Paquette

Because of its high electrical resistivity, low dielectric constant (κ), high thermal neutron capture cross section, and robust chemical, thermal, and mechanical properties, amorphous hydrogenated boron carbide (a-BxC:Hy) has garnered interest as a material for low-κ dielectric and solid-state neutron detection applications. Herein, we investigate the relationships between chemical structure (atomic concentration B, C, H, and O), physical/mechanical properties (density, porosity, hardness, and Youngs modulus), electronic structure [band gap, Urbach energy (EU), and Tauc parameter (B1/2)], optical/dielectric properties (frequency-dependent dielectric constant), and electrical transport properties (resistivity and leakage current) through the analysis of a large series of a-BxC:Hy thin films grown by plasma-enhanced chemical vapor deposition from ortho-carborane. The resulting films exhibit a wide range of properties including H concentration from 10% to 45%, density from 0.9 to 2.3 g/cm3, Youngs modulus ...


Journal of Physics: Condensed Matter | 2012

The electronic and chemical structure of the a-B3CO0.5:Hy-to-metal interface from photoemission spectroscopy: implications for Schottky barrier heights

M. Sky Driver; Michelle M. Paquette; Sudarshan Karki; Bradley J. Nordell; Anthony N. Caruso

The electronic and chemical structure of the metal-to-semiconductor interface was studied by photoemission spectroscopy for evaporated Cr, Ti, Al and Cu overlayers on sputter-cleaned as-deposited and thermally treated thin films of amorphous hydrogenated boron carbide (a-B(x)C:H(y)) grown by plasma-enhanced chemical vapor deposition. The films were found to contain ~10% oxygen in the bulk and to have approximate bulk stoichiometries of a-B(3)CO(0.5):H(y). Measured work functions of 4.7/4.5 eV and valence band maxima to Fermi level energy gaps of 0.80/0.66 eV for the films (as-deposited/thermally treated) led to predicted Schottky barrier heights of 1.0/0.7 eV for Cr, 1.2/0.9 eV for Ti, 1.2/0.9 eV for Al, and 0.9/0.6 eV for Cu. The Cr interface was found to contain a thick partial metal oxide layer, dominated by the wide-bandgap semiconductor Cr(2)O(3), expected to lead to an increased Schottky barrier at the junction and the formation of a space-charge region in the a-B(3)CO(0.5):H (y) layer. Analysis of the Ti interface revealed a thick layer of metal oxide, comprising metallic TiO and Ti (2)O (3), expected to decrease the barrier height. A thinner, insulating Al(2)O(3) layer was observed at the Al-to-a-B(3)CO(0.5):H(y) interface, expected to lead to tunnel junction behavior. Finally, no metal oxides or other new chemical species were evident at the Cu-to-a-B(3)CO(0.5):H(y) interface in either the core level or valence band photoemission spectra, wherein characteristic metallic Cu features were observed at very thin overlayer coverages. These results highlight the importance of thin-film bulk oxygen content on the metal-to-semiconductor junction character as well as the use of Cu as a potential Ohmic contact material for amorphous hydrogenated boron carbide semiconductor devices such as high-efficiency direct-conversion solid-state neutron detectors.


Journal of Vacuum Science and Technology | 2016

Combinatorial survey of fluorinated plasma etching in the silicon-oxygen-carbon-nitrogen-hydrogen system

Shailesh Dhungana; Bradley J. Nordell; Anthony N. Caruso; Michelle M. Paquette; W. A. Lanford; Kris Scharfenberger; Danya Jacob; Sean W. King

New multipass optical lithography patterning methods needed to print features for future <10 nm technologies will demand an increasingly complex combination of hardmasks, antireflection coatings, spacers, and etch stopping materials with distinct yet complementary properties. Finding the right mix, however, has proven particularly challenging given that the materials most commonly used are drawn from a limited set of Si- and C-based solids comprising Si, C, O, N, and H. To understand and maximize the limits of this composition space, the authors have performed a combinatorial survey of the dry etch characteristics for the Si-C-O-N-H system with the goal of understanding material composition–etch interactions and identifying material subclasses with distinct etch properties. Over 50 thin films sampling Si-C-O-N-H composition space were surveyed using two fluorinated etches commonly utilized for selective patterning of SiO2 and a-SiN:H dielectrics (CHF3 and CF4/O2, respectively). It was found that the incor...


Journal of Vacuum Science and Technology | 2017

Boron and high-k dielectrics: Possible fourth etch stop colors for multipattern optical lithography processing

Shailesh Dhungana; Thuong D. Nguyen; Bradley J. Nordell; Anthony N. Caruso; Michelle M. Paquette; G. Chollon; W. A. Lanford; Kris Scharfenberger; Danya Jacob; Sean W. King

In a companion article, the etch characteristics of materials within the Si-C-O-N-H system were surveyed using two common fluorinated plasma etches used to etch SiO2 interlayer dielectrics and SiN:H etch stop layers (CHF3 and CF4/O2, respectively) with the goal of identifying new materials or “colors” to assist in the simplification of advanced multipass optical lithography. In this study, the authors investigate additional materials outside the traditional Si-C-O-N-H phase diagram with the hope of identifying potential third or fourth color pattern-assist materials. The specific materials investigated include a series of high-k dielectrics commonly used in the industry (Al2O3, AlN, and HfO2) and boron-based solids (a-B:H, a-BxN:H, a-BxP:H, and a-BxC:H) that have been previously identified as potential hard mask, polish stop, and/or low-k dielectric materials. The high-k dielectrics were all found to exhibit low to unmeasureable etch rates in both fluorinated etches. In contrast, the boron-based solids al...


Materials Chemistry and Physics | 2016

Tuning the properties of a complex disordered material: Full factorial investigation of PECVD-grown amorphous hydrogenated boron carbide

Bradley J. Nordell; Christopher L. Keck; Thuong D. Nguyen; Anthony N. Caruso; Shaun Sudhaunshu Purohit; W. A. Lanford; Dhanadeep Dutta; David W. Gidley; Patrick Henry; Sean W. King; Michelle M. Paquette


ECS Journal of Solid State Science and Technology | 2017

Review — Investigation and review of the thermal, mechanical, electrical, optical, and structural properties of atomic layer deposited high-k dielectrics: Beryllium oxide, aluminum oxide, hafnium oxide, and aluminum nitride

John T. Gaskins; Patrick E. Hopkins; Devin R. Merrill; Sage R. Bauers; Erik Hadland; David C. Johnson; Donghyi Koh; Jung Hwan Yum; Sanjay K. Banerjee; Bradley J. Nordell; Michelle M. Paquette; Anthony N. Caruso; W. A. Lanford; Patrick Henry; Liza Ross; Han Li; Liyi Li; Marc French; Antonio M. Rudolph; Sean W. King


Advanced electronic materials | 2016

Conquering the Low-k Death Curve: Insulating Boron Carbide Dielectrics with Superior Mechanical Properties

Bradley J. Nordell; Thuong D. Nguyen; Christopher L. Keck; Shailesh Dhungana; Anthony N. Caruso; W. A. Lanford; John T. Gaskins; Patrick E. Hopkins; Devin R. Merrill; David C. Johnson; Liza Ross; Patrick Henry; Sean W. King; Michelle M. Paquette


Physical Review Materials | 2018

Underlying role of mechanical rigidity and topological constraints in physical sputtering and reactive ion etching of amorphous materials

Gyanendra Bhattarai; Shailesh Dhungana; Bradley J. Nordell; Anthony N. Caruso; Michelle M. Paquette; W. A. Lanford; Sean W. King


ECS Journal of Solid State Science and Technology | 2018

Erratum: Review—Investigation and Review of the Thermal, Mechanical, Electrical, Optical, and Structural Properties of Atomic Layer Deposited High-kDielectrics: Beryllium Oxide, Aluminum Oxide, Hafnium Oxide, and Aluminum Nitride [ECS J. Solid State Sci. Technol., 6, N189 (2017)]

John T. Gaskins; Patrick E. Hopkins; Devin R. Merrill; Sage R. Bauers; Erik Hadland; David C. Johnson; Donghyi Koh; Jung Hwan Yum; Sanjay K. Banerjee; Bradley J. Nordell; Michelle M. Paquette; Anthony N. Caruso; W. A. Lanford; Patrick Henry; Liza Ross; Han Li; Liyi Li; Marc French; Antonio B. Mei; Sean W. King


Advanced electronic materials | 2017

Carbon-Enriched Amorphous Hydrogenated Boron Carbide Films for Very-Low-k Interlayer Dielectrics

Bradley J. Nordell; Thuong D. Nguyen; Anthony N. Caruso; Sudhaunshu S. Purohit; Nathan A. Oyler; W. A. Lanford; David W. Gidley; John T. Gaskins; Patrick E. Hopkins; Patrick Henry; Sean W. King; Michelle M. Paquette

Collaboration


Dive into the Bradley J. Nordell's collaboration.

Top Co-Authors

Avatar

Anthony N. Caruso

University of Missouri–Kansas City

View shared research outputs
Top Co-Authors

Avatar

Michelle M. Paquette

University of Missouri–Kansas City

View shared research outputs
Top Co-Authors

Avatar

Thuong D. Nguyen

University of Missouri–Kansas City

View shared research outputs
Top Co-Authors

Avatar

Christopher L. Keck

University of Missouri–Kansas City

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shailesh Dhungana

University of Missouri–Kansas City

View shared research outputs
Top Co-Authors

Avatar

Sudhaunshu S. Purohit

University of Missouri–Kansas City

View shared research outputs
Researchain Logo
Decentralizing Knowledge