Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Brian Cline is active.

Publication


Featured researches published by Brian Cline.


design automation conference | 2012

Exploring sub-20nm FinFET design with predictive technology models

Saurabh Sinha; Greg Yeric; Vikas Chandra; Brian Cline; Yu Cao

Predictive MOSFET models are critical for early stage design-technology co-optimization and circuit design research. In this work, Predictive Technology Model files for sub-20nm multi-gate transistors have been developed (PTM-MG). Based on MOSFET scaling theory, the 2011 ITRS roadmap and early stage silicon data from published results, PTM for FinFET devices are generated for 5 technology nodes corresponding to the years 2012-2020 on the ITRS roadmap.


IEEE Journal of Solid-state Circuits | 2008

Exploring Variability and Performance in a Sub-200-mV Processor

Scott Hanson; Bo Zhai; Mingoo Seok; Brian Cline; Kevin Zhou; Meghna Singhal; Michael Minuth; Javin Olson; Leyla Nazhandali; Todd M. Austin; Dennis Sylvester; David T. Blaauw

In this study, we explore the design of a subthreshold processor for use in ultra-low-energy sensor systems. We describe an 8-bit subthreshold processor that has been designed with energy efficiency as the primary constraint. The processor, which is functional below Vdd=200 mV, consumes only 3.5 pJ/inst at Vdd=350 mV and, under a reverse body bias, draws only 11 nW at Vdd=160 mV. Process and temperature variations in subthreshold circuits can cause dramatic fluctuations in performance and energy consumption and can lead to robustness problems. We investigate the use of body biasing to adapt to process and temperature variations. Test-chip measurements show that body biasing is particularly effective in subthreshold circuits and can eliminate performance variations with minimal energy penalties. Reduced performance is also problematic at low voltages, so we investigate global and local techniques for improving performance while maintaining energy efficiency.


international conference on computer aided design | 2006

Analysis and modeling of CD variation for statistical static timing

Brian Cline; Kaviraj Chopra; David T. Blaauw; Yu Cao

Statistical static timing analysis (SSTA) has become a key method for analyzing the effect of process variation in aggressively scaled CMOS technologies. Much research has focused on the modeling of spatial correlation in SSTA. However, the vast majority of these works used artificially generated process data to test the proposed models. Hence, it is difficult to determine the actual effectiveness of these methods, the conditions under which they are necessary, and whether they lead to a significant increase in accuracy that warrants their increased runtime and complexity. In this paper, we study 5 different correlation models and their associated SSTA methods using 35420 critical dimension (CD) measurements that were extracted from 23 reticles on 5 wafers in a 130nm CMOS process. Based on the measured CD data, we analyze the correlation as a function of distance and generate 5 distinct correlation models, ranging from simple models which incorporate one or two variation components to more complex models that utilize principle component analysis and Quad-trees. We then study the accuracy of the different models and compare their SSTA results with the result of running STA directly on the extracted data. We also examine the trade-off between model accuracy and run time, as well as the impact of die size on model accuracy. We show that, especially for small dies (< 6.6mm x 5.7mm), the simple models provide comparable accuracy to that of the more complex ones, while incurring significantly less runtime and implementation difficulty. The results of this study demonstrate that correlation models for SSTA must be carefully tested on actual process data and must be used judiciously.


symposium on vlsi circuits | 2007

Performance and Variability Optimization Strategies in a Sub-200mV, 3.5pJ/inst, 11nW Subthreshold Processor

Scott Hanson; Bo Zhai; Mingoo Seok; Brian Cline; Kevin Zhou; Meghna Singhal; Michael Minuth; Javin Olson; Leyla Nazhandali; Todd M. Austin; Dennis Sylvester; David T. Blaauw

A robust, energy efficient subthreshold (sub-V<sub>th</sub>) processor has been designed and tested in a 0.13 mum technology. The processor consumes 11 nW at V<sub>dd</sub> = 160 mV and 3.5 pJ/inst at V<sub>dd</sub> = 350 mV. Variability and performance optimization techniques are investigated for sub-V<sub>th</sub> circuits.


Microelectronics Journal | 2016

ASAP7: A 7-nm finFET predictive process design kit

Lawrence T. Clark; Vinay Vashishtha; Lucian Shifren; Aditya Gujja; Saurabh Sinha; Brian Cline; Chandarasekaran Ramamurthy; Greg Yeric

Abstract We describe a 7-nm predictive process design kit (PDK) called the ASAP7 PDK, developed in collaboration with ARM Ltd. for academic use. The PDK is realistic, based on current assumptions for the 7-nm technology node, but is not tied to any specific foundry. The initial version assumes EUV lithography for key layers, a decision based on its present near cost-effectiveness and resulting simpler layout rules. Non-EUV layers assume appropriate multiple patterning schemes, i.e., self-aligned quadruple patterning (SAQP), self-aligned double patterning (SADP) or litho-etch litho-etch (LELE), based on 193-nm optical immersion lithography. The specific design rule derivation is explained for key layers at the front end of line (FEOL), middle of line (MOL), and back end of line (BEOL) of the predictive process modeled. The MOL and BEOL DRC rules rely on estimation of time dependent dielectric breakdown requirements using layer alignments determined with projected machine to machine overlay assumptions, with significant guard-bands where possible. A high density, low-power standard cell architecture, developed using design/technology co-optimization (DTCO), as well as example SRAM cells are shown. The PDK transistor electrical assumptions are also explained, as are the FEOL design rules, and the models include basic design corners. The transistor models support four threshold voltage (Vth) levels for both NMOS and PMOS transistors. Cadence Virtuoso technology files and associated schematic and layout editing, as well as netlisting are supported. DRC, LVS, and full parasitic extraction is enabled through Mentor Calibre decks.


international symposium on physical design | 2008

Stress aware layout optimization

Vivek Joshi; Brian Cline; Dennis Sylvester; David T. Blaauw; Kanak B. Agarwal

Process-induced mechanical stress is used to enhance carrier transport and achieve higher drive currents in current CMOS technologies. In this paper, we study how stress-induced performance enhancements are affected by layout properties and suggest guidelines for improving layouts so that performance gains are maximized. All MOS devices in this work include STI and nitride stress liners as sources of stress. Additionally, the PMOS devices incorporate the stress effects caused by the embedded SiGe S/D layer common in todays processes. First, we study how stress and drive current depend on layout parameters such as active area length and contact placement. We develop an intuition for the drive current dependency on these parameters and propose simple guidelines to improve a layout while considering mechanical stress effects. We then use these guidelines to improve the standard cell layouts in a 65nm industrial library. Experimental results show that we can enhance NMOS and PMOS drive currents by ~5% and ~12%, respectively, while only increasing NMOS leakage current by 1.48X and PMOS leakage current by 3.78X. By applying our guidelines to a 3-input NOR gate and a 3-input NAND gate, we are able to achieve a ~13.5% PMOS drive current improvement in the NOR gate and a ~7% NMOS drive current improvement in the NAND gate, without increasing cell area in either case


design automation conference | 2008

Leakage power reduction using stress-enhanced layouts

Vivek Joshi; Brian Cline; Dennis Sylvester; David T. Blaauw; Kanak B. Agarwal

In recent years, process-induced mechanical stress has emerged as a useful manufacturing technique that enhances carrier transport and increases drive currents. This improvement in current has helped to compensate the decline of device scaling factors in parameters such as tox, Vth, and Vdd. In this work, we propose stress as a means to achieve optimal power-performance trade-off by combining stress-based, performance-enhanced standard cell assignment with dual-Vth, assignment. We study how stress-induced performance enhancements are affected by layout properties and improve standard cell layouts so that performance gains are maximized. We then develop a circuit-level, block-based, stress-enhanced optimization algorithm that includes all layout-dependent sources of mechanical stress. By combining the two performance enhancement techniques (stress-based and dual-Vth) for a set of benchmark circuits, we find that our stress-aware optimization, decreases leakage by ~24% on average, for iso-delay, when compared to dual-Vth assignment. Similarly, for iso-leakage, our optimization algorithm reduces delay on average by 5%. In both cases, the proposed method only incurs a small area penalty (< 0.5%).


international symposium on physical design | 2014

Self-aligned double patterning aware pin access and standard cell layout co-optimization

Xiaoqing Xu; Brian Cline; Greg Yeric; Bei Yu; David Z. Pan

Self-aligned double patterning (SADP) is being considered for use at the 10-nm technology node and below for routing layers with pitches down to ~50 nm because it has better line edge roughness and overlay control compared to other multiple patterning candidates. To date, most of the SADP-related literature has focused on enabling SADP-legal routing in physical design tools while few attempts have been made to address the impact SADP routing has on local, standard cell (SC) I/O pin access. At the same time, via layers are used to connect the local SADP routing layers to the I/O pins on lower metal layers. Due to the high via density on the Via-1 layer, the litho-etch-litho-etch (LELE)-aware Via-1 design becomes a necessity to achieve legal pin access at the SC level. In this paper, we present the first study on SADP-aware pin access and layout optimization at the SC level. Accounting for SADP-specific and Via-1 design rules, we propose a coherent framework that uses depth first search, mixed integer linear programming, and backtracking method to enable LELE friendly Via-1 design and simultaneously optimize SADP-based local pin access and within-cell connections. Our experimental results show that, compared with the conventional approach, our framework effectively improves pin access of the SCs and maximizes the pin access flexibility for routing.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2015

Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization

Xiaoqing Xu; Brian Cline; Greg Yeric; Bei Yu; David Z. Pan

Self-aligned double patterning (SADP) is being considered for use at the 10-nm technology node and below for routing layers with pitches down to


international symposium on low power electronics and design | 2015

Power benefit study of monolithic 3D IC at the 7nm technology node

Kyungwook Chang; Kartik Acharya; Saurabh Sinha; Brian Cline; Greg Yeric; Sung Kyu Lim

\boldsymbol {\sim }50

Collaboration


Dive into the Brian Cline's collaboration.

Top Co-Authors

Avatar

Saurabh Sinha

University of Johannesburg

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Vikas Chandra

Carnegie Mellon University

View shared research outputs
Top Co-Authors

Avatar

Dennis Sylvester

Office of Technology Transfer

View shared research outputs
Top Co-Authors

Avatar

Saurabh Sinha

University of Johannesburg

View shared research outputs
Top Co-Authors

Avatar

Xiaoqing Xu

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

David Z. Pan

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge