Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Carlo Waldfried is active.

Publication


Featured researches published by Carlo Waldfried.


Journal of Applied Physics | 2006

Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation

Francesca Iacopi; Youssef Travaly; Brenda Eyckens; Carlo Waldfried; Thomas Abell; Eric P. Guyer; David M. Gage; Reinhold H. Dauskardt; Timo Sajavaara; Kristof Houthoofd; Pierre Grobet; Pierre A. Jacobs; Karen Maex

The short-ranged bonding structure of organosilicate glasses can vary to a great extent and is directly linked to the mechanical properties of the thin film material. The combined action of ultraviolet (UV) radiation and thermal activation is shown to generate a pronounced rearrangement in the bonding structure of thin organosilicate glass films involving no significant compositional change or film densification. Nuclear magnetic resonance spectroscopy indicates loss of –OH groups and an increase of the degree of cross-linking of the organosilicate matrix for UV-treated films. Fourier transform infrared spectroscopy shows a pronounced enhancement of the Si–O–Si network bond structure, indicating the formation of more energetically stable silica bonds. Investigation with x-ray reflectivity and ellipsometric porosimetry indicated only minor film densification. As a consequence, the mechanical properties of microporous organosilicate dielectric films are substantially enhanced while preserving the organosili...


Journal of The Electrochemical Society | 2005

Damage of Low-k and Ultralow-k Dielectrics from Reductive Plasma Discharges Used for Photoresist Removal

Darren Moore; Richard Carter; Hao Cui; Peter A. Burke; Shiqun Gu; Huagen Peng; Richard S. Valley; David W. Gidley; Carlo Waldfried; Orlando Escorcia

Hydrogen-containing plasma discharges, used to remove photoresist in integrated circuit manufacturing, are compared for their damaging effect on porous ultralow-k dielectrics. Such reductive ash processes studied are (A) a low- pressure N 2 /H 2 chemistry, reactive ion etch (RIE)-type discharge; (B) a high-pressure NH 3 chemistry, RIE-type discharge; and (C) a high-pressure H 5 /He remotely generated discharge. Two porous SiOCH dielectrics of differing porosity and k values 2.5 and 2.2 are used in this comparative study. Diagnostic methods used for film analysis include Fourier transform infrared spectroscopy, thermal desorption spectroscopy, density, and k-value measurements. Both RIE ash processes (A) and (B) were found to cause significant dielectric damage through film densification, -CH 3 loss, water gain, and dielectric constant increases. Film damage is noted to be more severe for the higher porosity k = 2.2 film. In contrast, all measured parameters for both dielectric films showed low damage with use of the remotely generated discharge. Positronium annihilation lifetime spectroscopy is employed in this work to show pore collapse and surface densification with use of either RIE reductive ash.


Journal of The Electrochemical Society | 2004

Plasma Impacts to an O-SiC Low-k Barrier Film

Wei Chen; Qingyuan Han; Robert Most; Carlo Waldfried; Orlando Escorcia; Ivan Berry

An oxygen-doped silicon carbide (O-SiC) barrier film with a dielectric constant of 3.3 was tested using a downstream ashing plasma tool. We investigated the interactions of three plasma chemistries, O 2 /H 2 /N 2 , H 2 /N 2 , and H 2 /He plasmas, with the O-SiC films. The plasma damage to the films were evaluated and chemical structure changes were examined. While the O 2 /H 2 /N 2 plasma changed the O-SiC film into a SiO 2 -like film, the H 2 /He plasma caused minimum damage to the film, and material removal can be controlled within 5%. The infrared spectra indicate no noticeable chemical structure changes after the H 2 /He plasma exposures. Further, the electrical properties, including dielectric constant, leakage current, and dielectric breakdown voltage, were measured after the films were exposed to these plasmas. These electrical characteristics are preserved after the films were exposed to the H 2 /He plasma. The results indicate that the H 2 /He plasma ashing chemistry can be effectively applied to the O-SiC films without generating degradation of the key film characteristics.


Solid State Phenomena | 2005

Activated He:H2 Strip of Photoresist over Porous Low-k Materials

Qing Yuan Han; Brian White; Ivan Berry; Carlo Waldfried; Orlando Escorcia

Introduction As design rules go beyond 90nm the introduction of porous low-k materials in Cu/low-k integration has forced dramatic changes in photoresist stripping and residue removal in BEOL applications. The major challenge is to remove photoresist and composite residues without corroding the copper or changing the dielectric constant of the porous ILD materials. This requires that the dry strip process not only can effectively remove photoresist and organic BARC materials but also can preserve the physical, chemical, and electrical properties of the ILD materials. Further, the dry strip process needs to be compatible with subsequent wet cleans. Currently there are two approaches of dry strip in Cu\low-k integration applications. One approach is the popular low pressure, low temperature anisotropic strip, which use O2 or N2H2 plasma chemistry with physical and ion enhancement to achieve lateral selectivity. The problems associated with the directional O2 strip are sidewall carbon depletion due to backscattered ions/electrons, cap rounding and etch stop loss due to sputtering, and CD shift due to etch stop redeposition. The other approach is to use downstream plasma isotropic strip with reducing chemistries. The key to success for the isotropic strip is to correctly choose the gas chemistry and process conditions. At Axcelis we have developed an activated He:H2 strip process excited by a downstream microwave plasma that has shown excellent performance on multiple Cu\low-k applications [1-4]. The He:H2 strip process substantially minimizes the damages to the ILD materials and enables post-strip wet cleans.


Journal of The Electrochemical Society | 2011

Removal of High-Dose Ion-Implanted 248 nm Deep UV Photoresist Using UV Irradiation and Organic Solvent

Diana Tsvetanova; Rita Vos; Kris Vanstreels; D Radisic; Roger Sonnemans; Ivan Berry; Carlo Waldfried; David Mattson; J DeLuca; G. Vereecke; Paul Mertens; Tatjana N. Parac-Vogt; Marc Heyns

Wet processes using organic solvents are gaining a renewed interest for stripping high dose ( ≧ 1 × 10 15 atoms. cm -2 ) ion-implanted photoresist (II-PR) in front-end-of-line semiconductor manufacturing because of their excellent selectivity to ultrashal-low implanted substrates and novel materials. However, the highly cross-linked resist layer (so-called crust), formed on the top and sidewalls of the resist has very limited solubility in organic solvents unlike the underlying nonimplanted resist (bulk). This study investigates the effect of UV pre- and post-treatment on II-PR for enabling its removal by organic solvent. Moreover, the impact of the UV wavelength, dose, and power density on the crust and bulk is presented. Optimal conditions of the UV pre- and post-treatment can be determined. Short ( < 200 nm) and long wavelengths (300-400 nm) at low doses induce more scission of the crust with less cross-linking of the bulk, resulting in higher solubility of the II-PR in organic solvents. Moreover, the short wavelength pretreatment is advised because of its bigger effect on the crust, resulting in significant enhancement of the residue removal. In addition, a post-treatment using short wavelengths has high removal efficiency in contrast to the long wavelengths treatment. Finally, no significant impact of the power density is revealed.


Solid State Phenomena | 2009

Evaluation of Plasma Strip Induced Substrate Damage

Ke Ping Han; Shijian Luo; Orlando Escorcia; Carlo Waldfried; Ivan Berry

High dose, ultra shallow junction implant resist strip requires minimal substrate loss and dopant loss. Silicon recess (silicon loss) under the source/drain (S/D) extensions increases the S/D extension resistance and decreases drive currents by changing the junction profile. ITRS surface preparation technology roadmap [1] targets silicon loss to be 0.4Å per cleaning step for 45nm and 0.3Å for 32nm generation. Fluorine-containing chemistries which are often used to enhance implanted resist strip and residue removal result in unacceptable substrate loss. A non-fluorine plasma strip was developed in earlier work and is qualified for 45nm logic production [2]. The objective of this work is to study the substrate damage that is induced by the resist strip plasma process. Silicon surface oxidation and silicon loss of different plasma strip chemistries were evaluated with various metrologies such as optical ellipsometry, electrical oxide measurement, XPS, TEM and mass measurement. The impact of different strip chemistries on dopant retention and distribution is also discussed.


MRS Proceedings | 2007

Requirements and Constraints on Optimizing UV Processing of Low-k Dielectrics

Ivan Berry; Carlo Waldfried; Kevin Durr

UV curing of low-k dielectrics presents a unique challenge in that the cure process is expected to do the impossible – increase hardness, modulus, cohesive strength, adhesion and reliability, decrease water absorbtion and dielectric constant, remove porogens (for porogen containing films), improve dielectric breakdown and chemical stability, all while minimizing shrinkage and contributions to film stress. Achieving this, in general, requires optimization of all of the cure parameters, such as UV spectral intensity, temperature, time, pressure, background gas, and process sequence, as well as the formulation of the low-k material itself. The spectral dependence of many of these important parameters will be discussed. A good figure of merit for modulus and k-value is the ratio of modulus/k-value. If one plots the spectral response of this ratio, one finds it peaks at a specific wavelength range. In principle it is possible to measure the UV spectral response of all of the critical parameters (not just modulus and k-value) and by overlaying these responses together determine what the proper UV exposure wavelength ranges should be. An example of this will be shown for an idealized porogen containing low-k material, for modulus, k-value, porogen removal and porogen crosslinking. A real low-k dielectric system has many more wavelength parameters to consider and the problem of bulb spectral intensity optimization becomes complex. It is also found that the relative intensity of the important wavelength bands also plays a significant role, requiring a great deal of spectral optimization experiments. UV cure performance is also dependent on cure temperature, time, background gases and ironically, the deposition or post-deposition bake temperature. Most cure parameters such as modulus, hardness, leakage, adhesion, etc, have a strong and generally exponential dependence on cure temperature. As a result, most UV cures are performed at the highest temperature allowable as defined by thermal budget constraints and copper voiding thresholds. Background ambient can also play a critical role in the UV cure, especially for porous low-k materials and those containing porogens. Changes in composition of the background gases can alter interface. The ultimate in performance is obtained when the low-k material formulation itself is included as a key parameter in the cure optimization. By optimizing the material, UV spectrum, and cure parameters together, significant improvements can be made. In conclusion, UV curing cannot be implemented without serious consideration to the wavelength dependence on many critical process factors. The interdependence of all components that makeup the optical system such as the wavelengths employed, light source technology, chamber design and ironically, the dielectric film itself must be considered. Only with these factors in mind, can the full benefit of UV curing be realized.


Solid State Phenomena | 2009

Post Extension Ion Implant Photo Resist Strip for 32 nm Technology and beyond

G. Mannaert; Liesbeth Witters; Denis Shamiryan; Werner Boullart; Ke Ping Han; Shijian Luo; A. Falepin; Roger Sonnemans; Ivan Berry; Carlo Waldfried

The most advanced technology nodes require ultra shallow extension implants (low energy) which are very vulnerable to ash related substrate oxidation, silicon and dopant loss, which can result in a dramatic increase of the source/drain resistance and shifted transistor threshold voltages. A robust post extension ion implant ash process is required in order to meet cleanliness, near zero Si loss and dopant loss specifications. This paper discusses a performance comparison between fluorine-free, reducing and oxidizing, ash chemistries and “as implanted – no strip” process conditions, for both state-of-the-art nMOS and pMOS implanted fin resistors. Fluorine-free processes were chosen since earlier experiments with fluorine containing plasma strips exhibited almost a 10x increase in sheet resistance in the worse case.


Solid State Phenomena | 2012

Study of Controlled Oxygen Diffusion Approaches for Advanced Photoresist Strip

Shi Jian Luo; Orlando Escorcia; David Mattson; Carlo Waldfried; Dong Wan Roh; Ivan Berry

Two alternative plasma strip processes were developed to meet the photoresist (PR) removal requirements of future technology nodes. Compared to traditional oxidizing chemistries, the new plasma strip approaches showed significantly lower silicon oxidation and substrate loss, while achieving good residue removal capabilities. Plasma strip-induced dopant loss and profile changes were also evaluated for gate-first and gate-last high-k/metal gate applications.


Proceedings of SPIE | 2012

Photoresist strip challenges for advanced lithography at 20nm technology node and beyond

Ivan Berry; Carlo Waldfried; Dwight Roh; Shijian Luo; David Mattson; James DeLuca; Orlando Escorcia

Photoresist strip has traditionally been a low technology process step, but is becoming increasingly more complex with the migration to ultra-shallow junctions, 3D structures, double patterning, and high-mobility channels. At junction depths of a few tens of nanometers, surface effects become increasingly important. Small changes to surface conditions can affect junction resistivity, junction depth, and dopant activation. Advanced high-resolution chemically amplified resist can be problematic when used as an implant mask. Ion beam induced chain scission and photoacid generation can lead to thermal instabilities during the resist strip process. Multilevel resist structures can be difficult to remove and rework and high aspect ratio 3D structures can require near infinite selectivity during the strip processes. This paper will summarize the issues and offer options for solutions.

Collaboration


Dive into the Carlo Waldfried's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Youssef Travaly

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge