Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Carsten Hartig is active.

Publication


Featured researches published by Carsten Hartig.


Proceedings of SPIE | 2011

A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM

Alok Vaid; Bin Bin Yan; Yun Tao Jiang; Mark Kelling; Carsten Hartig; John Allgair; Peter Ebersbach; Matthew Sendelbach; Narender Rana; Ahmad D. Katnani; Erin Mclellan; Chas Archie; Cornel Bozdog; Helen Kim; Michael Sendler; Susan Ng; Boris Sherman; Boaz Brill; Igor Turovets; Ronen Urensky

Shrinking design rules and reduced process tolerances require tight control of CD linewidth, feature shape, and profile of the printed geometry. The Holistic Metrology approach consists of utilizing all available information from different sources like data from other toolsets, multiple optical channels, multiple targets, etc. to optimize metrology recipe and improve measurement performance. Various in-line critical dimension (CD) metrology toolsets like Scatterometry OCD (Optical CD), CD-SEM (CD Scanning Electron Microscope) and CD-AFM (CD Atomic Force Microscope) are typically utilized individually in fabs. Each of these toolsets has its own set of limitations that are intrinsic to specific measurement technique and algorithm. Here we define Hybrid Metrology to be the use of any two or more metrology toolsets in combination to measure the same dataset. We demonstrate the benefits of the Hybrid Metrology on two test structures: 22nm node Gate Develop Inspect (DI) & 32nm node FinFET Gate Final Inspect (FI). We will cover measurement results obtained using typical BKM as well as those obtained by utilizing the Hybrid Metrology approach. Measurement performance will be compared using standard metrology metrics for example accuracy and precision.


Journal of Micro-nanolithography Mems and Moems | 2011

Holistic metrology approach: hybrid metrology utilizing scatterometry, critical dimension-atomic force microscope and critical dimension-scanning electron microscope

Alok Vaid; Bin Bin Yan; Yun Tao Jiang; Mark Kelling; Carsten Hartig; John Allgair; Peter Ebersbach; Matthew Sendelbach; Narender Rana; Ahmad D. Katnani; Erin Mclellan; Charles N. Archie; Cornel Bozdog; Helen Kim; Michael Sendler; Susan Ng; Boris Sherman; Boaz Brill; Igor Turovets; Ronen Urensky

Shrinking design rules and reduced process tolerances require tight control of critical dimension (CD) linewidth, feature shape, and profile of the printed geometry. The holistic metrology approach consists of utilizing all available information from different sources such as data from other toolsets, multiple optical channels, multiple targets, etc., to optimize metrology recipe and improve measurement performance. Various in-line CD metrology toolsets such as scatterometry optical CD, CD-SEM, and CD-AFM are typically utilized individually in fabs. Each of these toolsets has its own set of limitations that are intrinsic to specific measurement technique and algorithm. Here we define hybrid metrology to be the use of any two or more metrology toolsets in combination to measure the same dataset. We demonstrate the benefits of the hybrid metrology on two test structures: 22-nm-node gate develop inspect and 32-nm-node fin-shaped field effect transistor gate final inspect. We will cover measurement results obtained using typical BKM (nonhybrid, single toolset standard results) as well as those obtained by utilizing the hybrid metrology approach. Measurement performance will be compared using standard metrology metrics; for example, accuracy and precision.


Proceedings of SPIE | 2013

In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection

Eric P. Solecky; Oliver D. Patterson; Andrew Stamper; Erin Mclellan; Ralf Buengener; Alok Vaid; Carsten Hartig; Benjamin Bunday; Abraham Arceo; Aron Cepler

Metrology measurement and defect inspection steps in routes are more pervasive than many people realize and the number continues to grow. Digging deeper, it turns out that E-beam metrology and defect inspection tools typically occupy the most overall steps and therefore are extremely critical tools for semiconductor development and manufacturing. The Critical Dimension Scanning Electron Microscope (CDSEM) is an E-beam tool responsible for image-based structural metrology measurements while the E-beam review (EBR) and E-beam inspection (EBI) tools are responsible for defect inspection. The CDSEM faces significant future challenges in a world where device architectures are changing (as with the FinFET device), increasing the need for more structural measurement parameters such as sidewall angle, height and undercut, than the CDSEM can deliver. These applications are now migrating to scattering-based tools, also referred to as model- or computational-based structural metrology techniques. We explore the history of the CDSEM, the key fundamental limits (primarily resolution) of the CDSEM preventing it from capturing these applications and assess if an image-based structural metrology tool is still needed given the niche that scattering tools are filling. The answer is yes. Next we discuss whether the CDSEM will eventually meet those needs or if other alternative solutions are needed. Lastly, we discuss an industry survey on which image-based technique holds the most promise to solve these challenges. Regarding defect inspection, resolution is also a concern for the applications that need to detect extremely small defects which current optical-based Brightfield tools cannot address. While resolution is a primary concern in structural metrology, current EBI and EBR tools possess the resolution needed for defect inspection and have extendibility through at least the next advanced technology node. We also explore the niche E-beam is filling in defect inspection, evaluate the idea that a better synergistic solution exists today between the CDSEM and the EBI tools and propose a future E-beam landscape where E-beam tool variety in future fabs is significantly reduced to enhance productivity.


Proceedings of SPIE | 2012

Hybrid metrology solution for 1X node technology

Alok Vaid; Alexander Elia; Mark Kelling; John Allgair; Carsten Hartig; Peter Ebersbach; Erin Mclellan; Matthew Sendelbach; Nedal R. Saleh; Narender Rana; Hiroki Kawada; Toru Ikegami; Masahiko Ikeno; Takahiro Kawasaki; Cornel Bozdog; Helen Kim; Elad Arnon; Roy Koret; Igor Turovets

The accelerated pace of the semiconductor industry in recent years is putting a strain on existing dimensional metrology equipments (such as CDSEM, AFM, Scatterometry) to keep up with ever-increasing metrology challenges. However, a revolution appears to be forming with the recent advent of Hybrid Metrology (HM) - a practice of combining measurements from multiple equipment types in order to enable or improve measurement performance. In this paper we extend our previous work on HM to measure advanced 1X node layers - EUV and Negative Tone Develop (NTD) resist as well as 3D etch structures such as FinFETs. We study the issue of data quality and matching between toolsets involved in hybridization, and propose a unique optimization methodology to overcome these effects. We demonstrate measurement improvement for these advanced structures using HM by verifying the data with reference tools (AFM, XSEM, TEM). We also study enhanced OCD models for litho structures by modeling Line-edge roughness (LER) and validate its impact on profile accuracy. Finally, we investigate hybrid calibration of CDSEM to measure in-die resist line height by Pattern Top Roughness (PTR) methodology.


Journal of Micro-nanolithography Mems and Moems | 2012

Time-dependent electron-beam-induced photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

We explore how photoresist shrinkage behavior due to e-beam measurement by critical dimension-scanning electron microscope (CD-SEM) depends on various time-related factors. This will include an investigation of how the photoresist critical dimension (CD) and CD shrinkage varies with photoresist age and the differences in shrinkage trends between load/unload and static and dynamic repeatability cases, where time between measurements is a key variable. The results for this typical immersion argon flouride photoresist process will show that resist CD and shrinkage variation due to resist age and vacuum-cycling is insignificant, yet the shrinkage is strongly linked to time between consecutive measurements, with a well-defined, high-certainty logarithmic decay with time. These experiments identify a key difference between the shrinkage seen in static versus dynamic measurements, which will be shown to have far-reaching implications for the shrinkage phenomenon in general and for the best-known methods for executing CD-SEM metrology with photoresist samples.


Proceedings of SPIE | 2013

Material contrast based inline metrology: process verification and control using back scattered electron imaging on CD-SEM

Carsten Hartig; Daniel Fischer; Bernd Schulz; Alok Vaid; Ofer Adan; Shimon Levi; Adam Ge; Jessica Zhou; Maayan Bar-Zvi; Ronny Enge; Uwe Groh

The Critical Dimension Scanning Electron Microscope (CDSEM) is the traditional workhorse solution for inline process control. Measurements are extracted from top-down images based on secondary electron collection while scanning the specimen. Secondary electrons holding majority of detection yield. These images provide more on the structural information of the specimen surface and less in terms of material contrast. In some cases there is too much structural information in the image which can irritate the measurement, in other cases small but important differences between various material compounds cannot be detected as images are limited by contrast information and resolution of primary scanning beam. Furthermore, accuracy in secondary electron based metrology is limited by charging. To gather the exact required information for certain material compound as needed, a technique, known from material analytic SEM´s has been introduced for inline CDSEM analysis and process control: Low Loss Back Scattered Electron Imaging (LL-BSE). The key at LL-BSE imaging is the collection of only the back scattered electrons (BSE) from outermost specimen surface which undergo the least amount possible of energy loss in the process of image generation following impact of the material by a primary beam. In LL-BSE very good and measurable material distinction and sensitivity, even for very low density material compounds can be achieved. This paper presents new methods for faster process development cycle, at reduced cost, based on LL-BSE mass data mining instead of sending wafers for destructive material analysis.


Proceedings of SPIE | 2013

Implementation of hybrid metrology at HVM fab for 20nm and beyond

Alok Vaid; Lokesh Subramany; Givantha Iddawela; Carl Ford; John Allgair; Gaurav K. Agrawal; John Taylor; Carsten Hartig; Byung Cheol (Charles) Kang; Cornel Bozdog; Matthew Sendelbach; Paul Isbester; Limor Issascharoff

Metrology tools are increasingly challenged by the continuing decrease in the device dimensions, combined with complex disruptive materials and architectures. These demands are not being met appropriately by existing/forthcoming metrology techniques individually. Hybrid Metrology (HM) – the practice to combine measurements from multiple toolset types in order to enable or improve the measurement of one or more critical parameters – is being incorporated by the industry to resolve these challenges. Continuing our previous work we now take the HM from the lab into the fab. This paper presents the first-in-industry implementation of HM within a High Volume Manufacturing (HVM) environment. Advanced 3D applications are the first to use HM: 20nm Contact etch and 14nm FinFET poly etch. The concept and main components of this Phase-1 Host-based implementation are discussed. We show examples of communication protocols/standards that have been specially constructed for HM for sharing data between the metrology tools and fab host in GLOBALFOUNDRIES, as well as the HM recipe setup and HVM results. Finally we discuss our vision and phased progression/roadmap for Phase-2 HM implementation to fully reap the benefits of hybridization.


Proceedings of SPIE | 2011

Tool-to-tool matching issues due to photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

Photoresist shrinkage is an important systematic uncertainty source in critical dimension-scanning electron microscope (CD-SEM) metrology of lithographic features. In terms of metrology gauge metrics, it influences both the precision and the accuracy of CD-SEM measurements, while locally damaging the sample. Minimization or elimination of shrinkage is desirable, yet elusive. Because this error source will furthermore be a factor in CD-SEM metrology on polymer materials, learning to work around this issue is necessary. Tool-to-tool matching is another important component of measurement uncertainty that metrologists must control in high volume manufacturing, and photoresist samples are a most difficult case due to shrinkage effects, as tool-to-tool biases can vary based on the sample or other parameters. In this work, we explore different shrinkage effects and their influence on matching. This will include an investigation of how the photoresist shrinkage rate varies with time from the chemical development of the photoresists, which necessitates that measurements on different tools within a group be performed in rapid succession to avoid additional error. The differences in shrinkage rates between static and dynamic load/unload cases will also be addressed, as these effects also influence matching. The results of these dynamic effect experiments will be shown to have far-reaching implications for the shrinkage phenomenon in general. Finally, various sampling schemes for matching will be explored, through both simulation and experiment, for use with shrinking materials. Included is a method whereby various fleet tools measure different locations, once per tool, within a uniform line/space grating. Finally, we will assess how well matching can be achieved using these techniques.


Proceedings of SPIE | 2015

Influence of the process-induced asymmetry on the accuracy of overlay measurements

Tetyana Shapoval; Bernd Schulz; Tal Itzkovich; Sean Durran; Ronny Haupt; Agostino Cangiano; Barak Bringoltz; Matthias Ruhm; Eric Cotte; Rolf Seltmann; Tino Hertzsch; Eitan Hajaj; Carsten Hartig; Boris Efraty; Daniel Fischer

In the current paper we are addressing three questions relevant for accuracy: 1. Which target design has the best performance and depicts the behavior of the actual device? 2. Which metrology signal characteristics could help to distinguish between the target asymmetry related overlay shift and the real process related shift? 3. How does uncompensated asymmetry of the reference layer target, generated during after-litho processes, affect the propagation of overlay error through different layers? We are presenting the correlation between simulation data based on the optical properties of the measured stack and KLA-Tencor’s Archer overlay measurements on a 28nm product through several critical layers for those accuracy aspects.


advanced semiconductor manufacturing conference | 2016

Etch process monitoring possibilities and root cause analysis

Tetyana Shapoval; J. Engelmann; C. Kroh; N. Schmidt; S. Agarwal; Roshita Ramkhalawon; A. Cangiano; L. Debarge; Ronny Haupt; Robert Melzer; Carsten Hartig; Bernd Schulz; A. Reichel; R. Seltmann; Matthias Ruhm

Tilt of the shallow trench isolation on the wafer edge with the radial signature is a known issue for all technology nodes. Presence of this tilt was proven by cross-sectional TEM measurements. For advanced nodes, starting from 28 nm, this tilt becomes one of the crucial yield-killer strongly influencing the performance of the edge dies. If this tilt is not corrected for, overlay values of all FEOL layers will include an error on the wafer edge which leads to low performance of the devices and possibly yield loss. The etch process is thought to be responsible for the tilt, however even for the simplest stack the mechanism and reason of the tilt is not clear. The ability to monitor this tilt in production opens a way for understanding this mechanism and even eliminate the root cause. In this paper we will present the opportunity to measure with Spectroscopic critical dimension (SCD) and Overlay-Accuracy flags the asymmetry of tilted etch structures as well as identify the possible root cause of the tilt by monitoring the nanotopography before and after the etch process.

Collaboration


Dive into the Carsten Hartig's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge