Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chi Hoon Jun is active.

Publication


Featured researches published by Chi Hoon Jun.


Biosensors and Bioelectronics | 2002

Glucose sensor using a microfabricated electrode and electropolymerized bilayer films.

Haesik Yang; Taek Dong Chung; Youn Tae Kim; Chang Auck Choi; Chi Hoon Jun; Hee Chan Kim

A new type miniaturized glucose sensor with good selectivity and stable current response has been developed. The structure consists of a recessed rectangular microfabricated platinum electrode, inner layer of two electropolymerized nonconducting films, and outer bilayer of poly(tetrafluoroethylene) (Teflon) and polyurethane (PU) films. Glucose oxidase (GOx) is entrapped during the electropolymerization of a poly(m-phenylenediamine) (PMPD) film in an acetate buffer (AB) solution, on which a highly interference-resistive PMPD film is deposited in a phosphate buffered saline (PBS) solution. The second PMPD film causes no significant decrease in accessibility of glucose to GOx. The inner layer maintains less than 1% permeability to acetaminophen for 12 days. The fairly adhesive outer layer allows stable current response. Due to high permeability, the information about enzyme activity can be obtained without serious error in spite of outer layer intervening between enzymes and solution. The apparent Michaelis-Menten constant and the maximum steady-state current density were 24 mM and 80 microA cm(-2), respectively.


Journal of Micromechanics and Microengineering | 2002

Fabrication of MEMS devices by using anhydrous HF gas-phase etching with alcoholic vapor

Won Ick Jang; Chang Auck Choi; Myung Lae Lee; Chi Hoon Jun; Youn Tae Kim

In silicon surface micromachining, anhydrous HF GPE process was verified as a very effective method for the dry release of microstructures. The developed gas-phase etching (GPE) process with anhydrous hydrogen fluoride (HF) gas and alcoholic vapor such as methanol, isopropyl alcohol (IPA) was characterized and its selective etching properties were discussed. The structural layers are P-doped multi-stacked polysilicon and silicon-on-insulator (SOI) substrates and sacrificial layers are tetraethylorthosilicate (TEOS), low-temperature oxide (LTO), plasma enhanced chemical vapor deposition (PECVD) oxide, phosphosilicate glass (PSG) and thermal oxides on silicon nitride or polysilicon substrates. We successfully fabricated and characterized micro electro mechanical system (MEMS) devices with no virtually process-induced stiction and no residues. The characteristics of the MEMS devices for microsensor and microactuator, microfluidic elements and optical MEMS application were evaluated by experiment.


Journal of Vacuum Science and Technology | 1989

Activation and recrystallization of ion‐implanted amorphous silicon films by rapid thermal annealing

Youn Tae Kim; Hyung Joun Yoo; Chi Hoon Jun; Won Ick Jang; Sang Ho Kim

Amorphous and polycrystalline silicon (poly‐Si) films were deposited on oxidized wafer surfaces by low‐pressure chemical vapor deposition in the range 540–650 °C. After ion implantation, rapid thermal annealing (RTA) was carried out for 5–30 s by the infrared radiation at 1000–1150 °C. The films were characterized by measurements of resistivity, grain size, structure, and surface roughness. It is shown that the required conditions for successful activation of dopants (boron, phosphorus: 5×1015/cm2) were above 1000 °C and 10 s. This condition is similar to those used in RTA of comparably implanted single‐crystal silicon. The typical resistivities of films deposited below 600 °C were in the range of 1.0×10−3 Ω cm which was 20%–30% lower than that of initially poly‐Si films deposited above 600 °C. After RTA at high temperatures above 1000 °C, the films did not reveal any change in resistivity due to dopant segregation, and better electrical conductivity could be obtained by increasing the annealing time. The...


Japanese Journal of Applied Physics | 2000

Characterization of Residues on Anhydrous HF Gas-Phase Etching of Sacrificial Oxides for Surface Micromachining

Won Ick Jang; Chang Auck Choi; Jong-Hyun Lee; Chi Hoon Jun; Haesik Yang; Youn Tae Kim

We employed a newly developed anhydrous HF gas-phase etching (GPE) process for the removal of sacrificial oxides. The structural layers are P-doped multi-stacked polysilicon and silicon-on-insulator (SOI) substrates and sacrificial layers are chemical vapor deposition (CVD) tetraethylorthosilicate (TEOS) oxide, low-temperature oxide (LTO), phosphosilicate glass (PSG), and thermal oxides on silicon nitride or polysilicon substrates. The characteristics of residues on polysilicon or silicon nitride were scrutinized by scanning electron microscopy (SEM) and Auger electron spectroscopy (AES). After the GPE of CVD TEOS oxide, LTO, and PSG on the silicon nitride substrate, the polysilicon microstructures adhere to the underlying substrate because neither the SiOxNy layers nor the H3PO4(H2O) layer vaporize. We found that the etching of CVD TEOS oxide, LTO, and thermal oxide on a polysilicon substrate shows no residue and no stiction. Finally, the fabricated microstructures, symmetrically stacked to 6 µm thickness, operated at DC 4 V and AC 0.1 V in a vacuum chamber at 100 mTorr.


Proceedings of SPIE | 2000

Fabrication of surface-micromachined thermally driven micropump by anhydrous HF gas-phase etching with 2-propanol

Won Ick Jang; Chang Auck Choi; Myung Lae Lee; Chi Hoon Jun; Youn Tae Kim

In silicon surface micromachining, the HF GPE process was verified as a very effective method for the dry release of microstructures. The developed GPE system with anhydrous HF gas and 2-propanol vapor was characterized and its selective etching properties were discussed. The polysilicon membrane was used as a structural layer and LTO and PECVD oxide as a sacrificial layer. We successfully fabricated the surface micromachined microstructures of a thermally driven micropump with no virtually process-induced stiction and no residues after the GPE of sacrificial oxides on polysilicon substrates.


Journal of Vacuum Science and Technology | 1993

Effects of chlorine based gettering on the electrical properties of rapid thermal oxidation/nitridation dielectric films

Youn Tae Kim; Chi Hoon Jun; Sang-Koo Chung

Dielectric films have been grown on single‐crystalline silicon substrates with the thickness ranging from 100 to 180 A at various gas and temperature conditions by using a rapid thermal processing that included an independent nitridation step. The film characteristics and their dependence on the additive contents of the hydrogen chloride gas and the processing time have been studied. The additive concentration of the hydrogen chloride gas in the oxidizing atmosphere were changed from 0% to 8%. By the addition of the hydrogen chloride gas, the oxidation rates and the initial oxide thickness were significantly increased, but after sequential nitridation processes the thickness of the films was nevertheless a little bit varied within 10 A. The effective refractive index of the dielectric films were slightly changed with the additive contents of hydrogen chloride gas, and increased rapidly after nitridation process. All the samples of the dielectric films show the increased breakdown voltages and decreased av...


international conference on micro electro mechanical systems | 2004

Novel lithography process for extreme deep trench by using laminated negative dry film resist

Moon-Youn Jung; Won Ick Jang; Chang Auck Choi; Myung Rae Lee; Chi Hoon Jun; Youn Tae Kim

For the fabrication of MEMS(micro electro mechanical system) devices such as HAR(high-aspect-ratio) microstructures with an extreme deep trench, a novel lithography method was newly developed in this study. In the case of the deep trench, the liquid photoresist is not or very thinly coated at edge parts of the trench boundary. And, if a very thick resist coated, it is nearly impossible to develop the photoresist in the deep trench. To solve these problems, it is capped by laminating negative DFR(dry film resist) film on the cavity opening of the deep trench. Then positive photoresist is conventionally coated and patterned by the same photomask for the deep trench. To apply electric signals from outside to inside of the trench, aluminum on sidewall and bottom of the deep trench was successfully patterned by newly developed lithography method.


Japanese Journal of Applied Physics | 1998

Interfacial Reaction between Aluminum Metal and Boron-Doped Polysilicon in a Planar Type Antifuse Device

Jong Tae Baek; Hyung Ho Park; Byung Tae Ahn; Chi Hoon Jun; Youn Tae Kim; Yoon Ho Song; Jongdae Kim

The interfacial reaction between Al metal and boron-doped polysilicon was investigated to understand the mechanism of link formation in the planar type antifuse with a polysilicon pad and two Al electrodes. In the antifuse, the Si–Al alloy filament with a low resistance was formed only on the boron-doped polysilicon pad, not on the phosphorus-doped or undoped polysilicon pads. After annealing Al/boron-doped polysilicon at 400°C for 20 min, an Al-B compound (AlB2) was found by the reaction between Al metal and solute borons at the grain boundaries of polysilicon using Auger electron spectroscopy, X-ray diffractometer, and X-ray photoelectron spectroscopy. In the planar type antifuse device, the formation of AlB2 at the grain boundaries might act as a seed for the conductive filament formation by supplying Al from the positive electrode. After forming a low resistance Si–Al alloy filament, it grows toward the negative electrode by the reaction between supplied Al and highly reactive solute borons segregated at the grain boundaries.


international conference on solid state sensors actuators and microsystems | 2003

Characteristics of LIGA PMMA micromirror for MOEM optical switch application

Won Ick Jang; Moon-Youn Jung; Chi Hoon Jun; Youn Tae Kim; Masayoshi Esashi

We investigated characteristic of LIGA PMMA (polymethylmethacrylate) micromirror for MOEM optical switch application. The optical switching device was designed and fabricated in multi-layered polysilicon using the LPCVD process. We performed adhesion test on silicon and silicon oxide and acid test by anhydrous HF GPE (gas-phase etching) and 6:1 BHF wet etch processes for thin and thick gold-coated PMMA micromirrors. Normalized reflectance and surface roughness are measured by UV Spectrometer and WYKO interferometer, respectively. We found that the reflectance of gold-coated PMMA micromirror on the silicon surface was above 90%.


Device and process technologies for MEMS and microelectronics. Conference | 1999

Dry release process of anhydrous HF gas-phase etching for the fabrication of a vibrating microgyroscope

Won Ick Jang; Chang Auck Choi; Yoon Shick Hong; Chi Hoon Jun; Youn Tea Kim; Jong-Hyun Lee

A micro gyroscope, which vibrates in two orthogonal axes on the substrate plane, is designed and fabricated. Fabrication processes of the micro gyroscope are composed of anisotropic silicon etching by RIE, dry release by newly developed anhydrous HF gas-phase etching (GPE) of the buried sacrificial oxide layer, stress relief by multi-step annealing, metal electrode formation. The GPE process was verified as a very effective method for the release of compliant microstructures of micro gyroscope. The developed GPE system with anhydrous HF gas and CH3OH vapor was characterized and its etching properties were discussed. We successfully fabricated micro gyroscope with no virtually process-induced stiction and no residual products after GPE of TEOS, LTO, and thermal oxide on silicon substrates.

Collaboration


Dive into the Chi Hoon Jun's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Chang Auck Choi

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Won Ick Jang

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Moon Youn Jung

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Dong Ho Shin

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Myung Lae Lee

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Jong Tae Baek

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Se Ho Park

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Yun Tae Kim

Electronics and Telecommunications Research Institute

View shared research outputs
Top Co-Authors

Avatar

Hyeon Bong Pyo

Electronics and Telecommunications Research Institute

View shared research outputs
Researchain Logo
Decentralizing Knowledge