Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where D P. DeWitt is active.

Publication


Featured researches published by D P. DeWitt.


International Journal of Thermophysics | 2001

Bidirectional reflectance distribution function of rough silicon wafers

Y J. Shen; Z. M. Zhang; Benjamin K. Tsai; D P. DeWitt

The trend towards miniaturization of patterning features in integrated circuits (IC) has made traditional batch furnaces inadequate for many processes. Rapid thermal processing (RTP) of silicon wafers has become more popular in recent years for IC manufacturing. Light-pipe radiation thermometry is the method of choice for real-time temperature monitoring in RTP. However, the radiation environment can greatly affect the signal reaching the radiometer. The bidirectional reflectance distribution function (BRDF) of rough silicon wafers is needed for the prediction of the reflected radiation that reaches the radiometer and for reflective RTP furnace design. This paper presents the BRDF measurement results for several processing wafers in the wavelength range from 400 to 1100 nm with the spectral tri-function automated reference reflectometer (STARR) at the National Institute of Standards and Technology (NIST). The rms roughness of these samples ranges from 1 nm to 1 μm, as measured with an optical interferometric microscope. Correlations between the BRDF and surface parameters are obtained using different models by comparing theoretical predictions with experiments.


Journal of Thermophysics and Heat Transfer | 2005

Modeling Radiative Properties of Silicon with Coatings and Comparison with Reflectance Measurements

Bong Jae Lee; Z. M. Zhang; E A. Early; D P. DeWitt; Benjamin K. Tsai

Achieving high-accuracy temperature measurements in rapid thermal processing using radiation thermometry requires knowledge of the optical properties of silicon and related materials, such as silicon dioxide, silicon nitride, and polysilicon. However, available optical property models lack consistency and are not fully validated by experiments at the wavelength and temperature ranges critical to radiation thermometry. A critical survey is given of the existing optical models, with emphasis on the need for extrapolation and validation. Also described is an algorithm for calculating the radiative properties of lightly doped silicon with coatings. The effect of coatings covering one or both sides of a smooth silicon wafer is theoretically studied at room temperature, as well as at elevated temperatures. A spectrophotometer was used to measure the reflectance for selected samples in the wavelength region from (1.5 to 1 μm at room temperature. The measurements agree well with the predicted reflectance for bare silicon, a silicon wafer with a nitride coating, and wafers with an oxide coating of different thicknesses, whereas a larger deviation of as much as twice the measurement uncertainty is observed for a silicon wafer coated with polysilicon and oxide films.


International Journal of Heat and Mass Transfer | 2002

A Monte Carlo model for predicting the effective emissivity of the silicon wafer in rapid thermal processing furnaces

Yong Zhou; Y J. Shen; Z. M. Zhang; Benjamin K. Tsai; D P. DeWitt

Abstract Advances in microelectronics led to the development of rapid thermal processing (RTP). Accurate in situ temperature measurement and control are crucial for RTP furnaces to be largely accepted in the fabrication of semiconductor chips. This paper describes an effective emissivity model based on the Monte Carlo method to facilitate radiometric temperature measurements. The results showed that for non-diffuse wafers the “true” effective emissivity (defined in this paper) should be used, instead of the hemispherical effective emissivity, to correct thermometer readings. The geometric parameters and surface radiative properties can significantly influence the effective emissivity. The numerical aperture of the lightpipe radiation thermometer and the wafer-to-shield distance may be optimized to improve the measurement accuracy.


Journal of Thermophysics and Heat Transfer | 2004

High Heat-Flux Sensor Calibration: A Monte Carlo Modeling

A V. Murthy; Alexander Prokhorov; D P. DeWitt

Conventional calibration of heat-flux sensors uses high-temperature blackbody radiation and places the sensors away from the blackbody aperture. This approach limits the achievable calibration heat flux to about 50 kW/m 2 . Recent interest in extension of the calibration to higher heat-flux levels requires placement the sensors inside the heated cavity under nearly hemispherical irradiation environment. The incident flux at the sensor location depends on the effective emissivity, which is a function of the combined cavity and sensor geometry, and the properties of the radiating surfaces. A scheme is presented to compute the effective emissivity for such measurement schemes by the use of the Monte Carlo technique. Typical results presented demonstrate the influence of the cavity wall surface emissivity and diffusity, nonuniform temperature distributions, and the sensor location on the calculated effective emissivity


international conference on advanced thermal processing of semiconductors | 2002

Effects of wafer emissivity on rapid thermal processing temperature measurement

D H. Chen; D P. DeWitt; Benjamin K. Tsai; Kenneth G. Kreider; William A. Kimes

Lightpipe radiation thermometers (LPRTs) are widely used to measure wafer temperatures in rapid thermal processing (RTP) tools. Using blackbody-calibrated LPRTs to infer the wafer temperature, it is necessary to build a model to predict the effective emissivity accounting for the wafer and chamber radiative properties as well as geometrical features of the chamber. The uncertainty associated with model-corrected temperatures can be investigated using test wafers instrumented with thin-film thermocouples (TFTCs) on which the LPRT target spot has been coated with films of different emissivity. A model of the wafer-chamber arrangement was used to investigate the effects of Pt (/spl epsiv//sub s/=0.25) and Au (/spl epsiv//sub s/=0.05) spots on the temperature distribution of the test wafers with the emissivity of 0.65 and 0.84. The effects of the shield reflectivity and the cool lightpipe (LP) tip on the wafer temperature were evaluated. A radiance analysis method was developed and a comparison of model-based predictions with experimental observations was made on a 200 mm wafer in the NIST RTP test bed. The temperature rises caused by the low-emissivity spot were predicted and the cooling effect of the LP tip was determined. The results of the study are important for developing the model-corrected temperature measurement and uncertainty estimates using LPRT in semiconductor thermal processes.


international conference on advanced thermal processing of semiconductors | 2001

Effects of radiative properties of surfaces on radiometric temperature measurement

Yong Zhou; Z. M. Zhang; D P. DeWitt; Benjamin K. Tsai

A Monte Carlo model has been developed to simulate the radiative process and to predict the effective emissivity for accurate radiometric temperature measurements in rapid thermal processing (RTP) furnaces. The effective emissivity used here takes into consideration the limited numerical aperture of the lightpipe. The bidirectional reflectance distribution function (BRDF) is applied to describe the angular distribution of the reflected energy. After comparing several BRDF models, we recommend two simple modified models for use in the Monte Carlo method. The comparison between the modeling results from the BRDF model and the specularity model validates the usage of the BRDF models and makes it attractive to obtain the BRDF through characterizing the surface roughness.


Journal of Thermophysics and Heat Transfer | 1989

Measurement of surface temperatures and spectral emissivities duringlaser irradiation

D P. DeWitt; Roger Rondeau

Observations with a four-band radiometer of the spectral radiance temperatures of a carbon-phenolic sample are used to determine the front-face temperature and spectral emissivities (0.53, 1.06, 3.8, and 7.8 ^m) on the sample when subjected to cw laser irradiation (10.6 /im). A spectral method is employed that assumes a value for the shortest wavelength spectral emissivity value and is compared to the classical ratio method. Error analysis for each of the methods is presented along with discussion on multispectral methods that may be useful for certain situations.


Materials research letters | 1998

RTP Calibration Wafer using thin-film Thermocouples

Kenneth G. Kreider; D P. DeWitt; Benjamin K. Tsai; F. J. Lovas; David W. Allen

Rapid thermal processing (RTP) is a key technology for the cluster tool, single wafer manufacturing approach that is used to produce integrated circuits at lower cost with reduced line widths and thermal budgets. However, various problems associated with wafer temperature measurements and dynamic temperature uniformity have hindered the widespread use of RTP in semiconductor device manufacturing. The current technology for calibrating the radiometers employs a thermocouple instrumented wafer. We have accomplished improvements in the accuracy of these measurements through the use of thin-film thermocouples and the new Pt/Pd thermocouple system. These new calibration wafers can reduce the uncertainty in wafer temperature measurement technology by (1) reducing the perturbation due to heat transfer at the thermocouple junctions and (2) replacing conventional thermocouples with the superior Pt/Pd system. The thin-film thermocouples were calibrated using proof specimens fabricated with the Si 200 mm wafers and evaluated in the NIST RTP sensor test bed. The commercial type K thermocouples yielded temperature measurements within 4 °C of the thin-film Rh/Pt and Pt/Pd thermocouples on the 200 mm calibration wafer between 725°C and 875 °C. The Pt/Pd thin-film thermocouples proved less durable than the Rh/Pt thin films and the limitations of these systems are discussed. We also present a comparison of the radiometric measurements with the thermocouple measurements using a model estimating the wafer temperature from its spectral radiance temperature.


Characterization and Metrology for ULSI Technology | 2001

ITS-90 calibration of radiation thermometers for RTP using wire/thin-film thermocouples on a wafer

Christopher W. Meyer; D P. DeWitt; Kenneth G. Kreider; F. J. Lovas; Benjamin K. Tsai

Light-pipe radiation thermometers (LPRTs) are the sensor system of choice in RTP tools. They can be calibrated against blackbodies with an uncertainty (k=1) less than 0.3 °C. In an RTP tool, however, account must be made for wafer emissivity and wafer-chamber interreflections, or else temperature measurement uncertainties will be orders of magnitude higher. We have used two complementary approaches for accomplishing this: 1) in situ calibration using high-accuracy wire/thin-film thermocouples calibrated on the International Temperature Scale of 1990 (ITS-90) and 2) developing optical models to estimate the effective emissivity of the wafer eeff when used in the radiation environment of the RTP tool. The temperature measurement uncertainty of LPRTs using either technique is 2.1 °C or less.


Characterization and Metrology for ULSI Technology | 1998

Calibration wafer for temperature measurements in RTP tools

Kenneth G. Kreider; D P. DeWitt; Benjamin K. Tsai; F. J. Lovas; David W. Allen

Rapid thermal processing (RTP) is a key technology that is used to produce integrated circuits at lower cost and reduced thermal budgets. One of the limiting factors in expanding the use of RTP is the accuracy of temperature measurements of the wafer during processing. We are developing a wafer for calibrating radiometric temperature measurements in RTP tools. The calibration wafer incorporates thin-film thermocouples with platinum/palladium (Pt/Pd) wire thermocouples welded to thin-film pads at the periphery of the 200 mm wafers. We have reduced the uncertainty of the temperature measurements up to 1200 K with this system. This has been accomplished by reducing the uncertainty due to the thermocouple itself and due to reduction of heat transfer near the junction.We report results of NIST calibrations of radiometers using Pt/Pd wire thermocouples welded to the thin films on the wafer and of calibrated type K thermocouples. The thin-film thermocouples were sputter deposited from high purity Pt, Pd and Rh. ...

Collaboration


Dive into the D P. DeWitt's collaboration.

Top Co-Authors

Avatar

Benjamin K. Tsai

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Kenneth G. Kreider

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Z. M. Zhang

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

F. J. Lovas

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Y J. Shen

University of Florida

View shared research outputs
Top Co-Authors

Avatar

David W. Allen

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

William A. Kimes

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Yong Zhou

University of Florida

View shared research outputs
Top Co-Authors

Avatar

D H. Chen

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Christopher W. Meyer

National Institute of Standards and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge