Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daniel F. Sunday is active.

Publication


Featured researches published by Daniel F. Sunday.


ACS Nano | 2014

Determination of the Internal Morphology of Nanostructures Patterned by Directed Self Assembly

Daniel F. Sunday; Matthew R. Hammond; Chengqing Wang; Wen-Li Wu; Dean M. DeLongchamp; Melia Tjio; Joy Cheng; Jed W. Pitera; R. Joseph Kline

The directed self-assembly (DSA) of block copolymers (BCP) is an emerging resolution enhancement tool that can multiply or subdivide the pitch of a lithographically defined chemical or topological pattern and is a resolution enhancement candidate to augment conventional lithography for patterning sub-20 nm features. Continuing the development of this technology will require an improved understanding of the polymer physics involved as well as experimental confirmation of the simulations used to guide the design process. Both of these endeavors would be greatly facilitated by a metrology, which is capable of probing the internal morphology of a DSA film. We have developed a new measurement technique, resonant critical-dimension small-angle X-ray scattering (res-CDSAXS), to evaluate the 3D buried features inside the film. This is an X-ray scattering measurement where the sample angle is varied to probe the 3D structure of the film, while resonant soft X-rays are used to enhance the scattering contrast. By measuring the same sample with both res-CDSAXS and traditional CDSAXS (with hard X-rays), we are able to demonstrate the dramatic improvement in scattering obtained through the use of resonant soft X-rays. Analysis of the reciprocal space map constructed from the res-CDSAXS measurements allowed us to reconstruct the complex buried features in DSA BCP films. We studied a series of DSA BCP films with varying template widths, and the internal morphologies for these samples were compared to the results of single chain in mean-field simulations. The measurements revealed a range of morphologies that occur with changing template width, including results that suggest the presence of mixed morphologies composed of both whole and necking lamella. The development of res-CDSAXS will enable a better understanding of the fundamental physics behind the formation of buried features in DSA BCP films.


Journal of Micro-nanolithography Mems and Moems | 2013

Three-dimensional x-ray metrology for block copolymer lithography line-space patterns

Daniel F. Sunday; Matthew R. Hammond; Chengqing Wang; Wen-Li Wu; R. Joseph Kline; Gila E. Stein

Abstract. We report on the development of a new measurement method, resonant critical-dimension small-angle x-ray scattering (res-CDSAXS), for the characterization of the buried structure of block copolymers (BCP) used in directed self assembly (DSA). We use resonant scattering at the carbon edge to enhance the contrast between the two polymer blocks and allow the determination of the three-dimensional shape of the native lamella in a line–space pattern by CDSAXS. We demonstrate the method by comparing the results from conventional CDSAXS to res-CDSAXS on a 1:1 DSA BCP sample with a nominal 50-nm pitch. The res-CDSAXS method provides substantially improved uncertainty in the fit of the line shape and allows the determination of the buried structure.


Journal of Applied Crystallography | 2015

Determining the shape and periodicity of nanostructures using small‐angle X‐ray scattering

Daniel F. Sunday; Scott List; Jasmeet S. Chawla; R. Joseph Kline

The semiconductor industry is exploring new metrology techniques capable of meeting the future requirement to characterize three-dimensional structure where the critical dimensions are less than 10 nm. X-ray scattering techniques are one candidate owing to the sub-A wavelengths which are sensitive to internal changes in electron density. Critical-dimension small-angle X-ray scattering (CDSAXS) has been shown to be capable of determining the average shape of a line grating. Here it is used to study a set of line gratings patterned via a self-aligned multiple patterning process, which resulted in a set of mirrored lines, where the individual line shapes were asymmetric. The spacing between lines was systematically varied by sub-nm shifts. The model used to simulate the scattering was developed in stages of increasing complexity in order to justify the large number of parameters included. Comparisons between the models at different stages of development demonstrate that the measurement can determine differences in line shapes within the superlattice. The shape and spacing between lines within a given set were determined to sub-nm accuracy. This demonstrates the potential for CDSAXS as a high-resolution nanostructure metrology tool.


Journal of Micro-nanolithography Mems and Moems | 2016

Advancing x-ray scattering metrology using inverse genetic algorithms

Adam F. Hannon; Daniel F. Sunday; Donald Windover; R. Joseph Kline

Abstract. We compare the speed and effectiveness of two genetic optimization algorithms to the results of statistical sampling via a Markov chain Monte Carlo algorithm to find which is the most robust method for determining real-space structure in periodic gratings measured using critical dimension small-angle x-ray scattering. Both a covariance matrix adaptation evolutionary strategy and differential evolution algorithm are implemented and compared using various objective functions. The algorithms and objective functions are used to minimize differences between diffraction simulations and measured diffraction data. These simulations are parameterized with an electron density model known to roughly correspond to the real-space structure of our nanogratings. The study shows that for x-ray scattering data, the covariance matrix adaptation coupled with a mean-absolute error log objective function is the most efficient combination of algorithm and goodness of fit criterion for finding structures with little foreknowledge about the underlying fine scale structure features of the nanograting.


Journal of Micro-nanolithography Mems and Moems | 2016

Evaluation of the effect of data quality on the profile uncertainty of critical dimension small angle x-ray scattering

Daniel F. Sunday; Scott List; Jasmeet S. Chawla; R. Joseph Kline

Abstract. A line grating prepared via a self-aligned quadruple patterning method was measured using critical dimension small angle x-ray scattering. A Monte Carlo Markov chain algorithm was used to analyze the uncertainty of the model fit over subsets of the full angular range and for a time series with decreasing signal-to-noise in order to determine the effect of the data quality on the final profile shape uncertainty. These results show how the total measurement time can be reduced while maintaining satisfactory profile shape uncertainty. We found that the typical measurement conditions are highly oversampled and can be reduced considerably with only marginal effect on the shape uncertainty. A comparison is made between the synchrotron measurements and a laboratory system, demonstrating that both measurements result in similar structures.


Proceedings of SPIE | 2013

Intercomparison between optical and x-ray scatterometry measurements of FinFET structures

P. Lemaillet; Thomas A. Germer; R. Joseph Kline; Daniel F. Sunday; Chengqing Wang; Wen-Li Wu

In this paper, we present a comparison of profile measurements of vertical field effect transistor (FinFET) fin arrays by optical critical dimension (OCD) metrology and critical dimension small angle X-ray scattering (CD-SAXS) metrology. Spectroscopic Muller matrix elements measurements were performed at various azimuthal angles for OCD, and X-ray diffraction intensities were collected for different incident angles in CD-SAXS measurements. A common trapezoidal model was used to compute the OCD and CD-SAXS signatures, using rigorous coupled wave (RCW) analysis and a 2D Fourier transform, respectively. Profile parameters, some material parameters, and instruments parameters were adjusted by a non-linear fitting procedure of the data. Results from both measurement techniques were compared and found in reasonable agreement with one another, although some of the parameters have differences that exceed the estimated uncertainties.


Proceedings of SPIE | 2014

Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty model

Richard M. Silver; Bryan M. Barnes; Nien Fan Zhang; Hui Zhou; Andras Vladar; John S. Villarrubia; Joseph Kline; Daniel F. Sunday; Alok Vaid

There has been significant interest in hybrid metrology as a novel method for reducing overall measurement uncertainty and optimizing measurement throughput (speed) through rigorous combinations of two or more different measurement techniques into a single result. This approach is essential for advanced 3-D metrology when performing model-based critical dimension measurements. However, a number of fundamental challenges present themselves with regard to consistent noise and measurement uncertainty models across hardware platforms, and the need for a standardized set of model parameters. This is of paramount concern when the various techniques have substantially different models and underlying physics. In this paper we present realistic examples using scanning electron microscopy, atomic force microscopy, and optical critical dimension (CD) methods applied to sub-20 nm dense feature sets. We will show reduced measurement uncertainties using hybrid metrology on 15 nm CD features and evaluate approaches to adapt quantitative hybrid metrology into a high volume manufacturing environment.


Proceedings of SPIE | 2013

Critical dimension small angle X-ray scattering measurements of FinFET and 3D memory structures

Charles Settens; Benjamin Bunday; Brad Thiel; R. Joseph Kline; Daniel F. Sunday; Chengqing Wang; Wen-Li Wu; Richard Matyi

We have demonstrated that transmission critical dimension small angle X-ray scattering (CD-SAXS) provides high accuracy and precision CD measurements on advanced 3D microelectronic architectures. The competitive advantage of CD-SAXS over current 3D metrology methods such as optical scatterometry is that CD-SAXS is able to decouple and fit cross-section parameters without any significant parameter cross-correlations. As the industry aggressively scales beyond the 22 nm node, CD-SAXS can be used to quantitatively measure nanoscale deviations in the average crosssections of FinFETs and high-aspect ratio (HAR) memory devices. Fitting the average cross-section of 18:1 isolated HAR contact holes with an effective trapezoid model yielded an average pitch of 796.9 ± 0.4 nm, top diameter of 70.3 ± 0.9 nm, height of 1088 ± 4 nm, and sidewall angle below 0.1°. Simulations of dense 40:1 HAR contact holes and FinFET fin-gate crossbar structures have been analyzed using CD-SAXS to inquire the theoretical precision of the technique to measure important process parameters such as fin CD, height, and sidewall angle; BOX etch recess, thickness of hafnium oxide and titanium nitride layers; gate CD, height, and sidewall angle; and hafnium oxide and titanium nitride etch recess. The simulations of HAR and FinFET structures mimic the characteristics of experimental data collected at a synchrotron x-ray source. Using the CD-SAXS simulator, we estimate the measurement capabilities for smaller similar structures expected at future nodes to predict the applicability of this technique to fulfill important CD metrology needs.


ACS Applied Materials & Interfaces | 2017

Characterizing Patterned Block Copolymer Thin Films with Soft X-rays

Daniel F. Sunday; Jiaxing Ren; Christopher Liman; Lance Williamson; Roel Gronheid; Paul F. Nealey; R. Joseph Kline

The directed self-assembly (DSA) of block copolymers (BCPs) is a potential solution for patterning critical features for integrated circuits at future technology nodes. For this process to be implemented, there needs to be a better understanding of how the template guides the assembly and induces subsurface changes in the lamellar structure. Using a rotational transmission X-ray scattering measurement coupled with soft X-rays to improve contrast between polymer components, the impact of the ratio of the guiding stripe width (W) to the BCP pitch (L0) was investigated. For W/L0 < 1, continuous vertical lamella were observed, with some fluctuations in the interface profile near the template that smoothed out further up the structure. Near W/L0 ≈ 1.5, the arrangement of the lamella shifted, moving from polystyrene centered on the guiding stripe to poly(methyl methacrylate) centered on the guiding stripe.


Journal of Micro-nanolithography Mems and Moems | 2017

X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices

R. Joseph Kline; Daniel F. Sunday; Donald Windover; Benjamin Bunday

Abstract. Semiconductor devices continue to shrink in size with every generation. These ever smaller structures are challenging the resolution limits of current analytical and inline metrology tools. We will discuss the results of a study of critical dimension small angle x-ray scattering (CDSAXS) comparing the measured intensity from a laboratory source and a synchrotron to determine the improvements in compact x-ray source technology necessary to make CDSAXS a high throughput metrology method. We investigated finFET test structures with and without a high-k gate dielectric coating. The HfO2-based high-k gate dielectric substantially increased the scattering intensity. We found that single-angle laboratory source measurements of 15 min from HfO2-coated finFETs had sufficient scattering intensity to measure the higher order peaks necessary for obtaining high-resolution dimensional fits. Identical bare silicon finFETs required at least 2 h of exposure time for equivalent data quality. Using these structures, we measured the scattering efficiency and determined the required photon flux for next generation x-ray sources to make an inline CDSAXS tool high throughput.

Collaboration


Dive into the Daniel F. Sunday's collaboration.

Top Co-Authors

Avatar

R. Joseph Kline

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Adam F. Hannon

Massachusetts Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Christopher Liman

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Regis J. Kline

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Wen-Li Wu

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Donald Windover

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Dean M. DeLongchamp

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Summer Tein

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge