Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where David R. Medeiros is active.

Publication


Featured researches published by David R. Medeiros.


Journal of Vacuum Science & Technology B | 1999

Study of resolution limits due to intrinsic bias in chemically amplified photoresists

Sergei V. Postnikov; Michael D. Stewart; Hoang Vi Tran; Mark A. Nierode; David R. Medeiros; Ti Cao; Jeffrey D. Byers; Stephen E. Webber; C. Grant Wilson

This article presents experimental results that suggest that classical Fickian diffusion cannot account for any significant fraction of the critical dimension bias observed in chemically amplified photoresists. A transport mechanism based on reaction front propagation is proposed as a possible explanation for the experimental observations.


Advances in Resist Technology and Processing XX | 2003

Extendibility of chemically amplified resists: another brick wall?

William D. Hinsberg; Frances A. Houle; Martha I. Sanchez; John A. Hoffnagle; Gregory M. Wallraff; David R. Medeiros; Gregg M. Gallatin; Jonathan L. Cobb

The chemically amplified resist concept, first described two decades past and originally targeted for the 1000 nm device generation, has proved to have remarkable versatility. The semiconductor industry has come to rely on the properties of CA resists to achieve high resolution, high aspect ratio imaging accompanied by the high throughput that stems from their catalytic imaging mechanism. As the industry maps the evolution of lithographic technology to the 20 nm regime, it is appropriate to review the factors that control the performance of CA resists, and examine whether the traditional evolutionary path of materials refinement will provide materials capable of supporting device manufacturing at those dimensions. The impacts of image blur, line-edge roughness and shot noise on the ability to image CA resists at nanoscale dimensions will be discussed.


Advances in Resist Technology and Processing XXI | 2004

Liquid immersion lithography: evaluation of resist issues

William D. Hinsberg; Gregory M. Wallraff; Carl E. Larson; Blake Davis; Vaughn R. Deline; Simone Raoux; Dolores C. Miller; Frances A. Houle; John A. Hoffnagle; Martha I. Sanchez; C. T. Rettner; Linda K. Sundberg; David R. Medeiros; Ralph R. Dammel; Willard E. Conley

We address in this report a set of key questions tied to the implementation of liquid immersion lithography, from the perspective of the resist materials. We discuss the broad question of whether chemically amplified resists are capable of achieving the spatial resolution that ultimately will be required for the most advanced immersion scenario. Initial studies undertaken using model 193 nm resist materials provide some insight into how an aqueous liquid immersion process can affect the resist material.


Ibm Journal of Research and Development | 2001

Recent progress in electron-beam resists for advanced mask-making

David R. Medeiros; Arieh Aviram; C. R. Guarnieri; Wu-Song Huang; Ranee Wai-Ling Kwong; Christopher Magg; Arpan P. Mahorowala; Wayne M. Moreau; Karen Petrillo; Marie Angelopoulos

Resists for advanced mask-making with high-voltage electron-beam writing tools have undergone dramatic changes over the last three decades. From PMMA and the other early chain-scission resists for micron dimensions to the aqueous-base-developable, dry-etchable chemically amplified systems being developed today, careful tuning of the chemistry and processing conditions of these resist systems has allowed the patterning of photomasks of increasing complexity containing increasingly finer features. Most recently, our research efforts have been focused on a low-activation-energy chemically amplified resist based on ketal-protected poly(hydroxystyrene). These ketal resist systems, or KRSs, have undergone a series of optimization and evaluation cycles in order to fine-tune their performance for advanced mask-fabrication applications using the 75-kV IBM EL4+ vector scan e-beam exposure system. The experiments have led to an optimized formulation, KRS-XE, that exhibits superior lithographic performance and has a high level of processing robustness. In addition, we describe advanced formulations of KRS-XE incorporating organometallic species, which have shown superior dry-etch resistance to novolak-based resists in the Cr etch process while maintaining excellent lithographic performance. Finally, current challenges facing the implementation of a chemically amplified resist in the photomask manufacturing process are outlined, along with current approaches being pursued to extend the capabilities of KRS technology.


Journal of Vacuum Science & Technology B | 2004

Sub-50nm half-pitch imaging with a low activation energy chemically amplified photoresist

Gregory M. Wallraff; David R. Medeiros; M. Sanchez; Karen Petrillo; Wu-Song Huang; C. Rettner; B. Davis; C. E. Larson; L. Sundberg; Phillip J. Brock; William D. Hinsberg; Frances A. Houle; J. A. Hoffnagle; Dario L. Goldfarb; Karen Temple; S. Wind; J. Bucchignano

Critical lithographic dimensions are rapidly approaching the sub-50nm regime where there is a concern that image blur due to acid diffusion will impose a practical limit to the resolution of chemically amplified (CA) resists. Although recent EUV and 193- and 157nm immersion interferometric experiments have reportedly resolved line-space arrays with individual dimensions on the order of ∼40nm, smaller nested features are likely to prove problematic. Numerous reports suggest that conventional photoresist performance degrades rapidly at half-pitch dimensions in this range. New approaches to processing and materials development of photoresists will likely be required if the concept of chemical amplification is to be extended to the 32nm node and beyond. In this article we show that through materials choice and proper processing, image blur can be controlled to an extent where dense features below 40nm can routinely be resolved in CA resists. We describe our studies on high-sensitivity resists of differing act...


Advances in Resist Technology and Processing XX | 2003

Hardmask technology for sub-100-nm lithographic imaging

Katherina Babich; Arpan P. Mahorowala; David R. Medeiros; Dirk Pfeiffer; Karen Petrillo; Marie Angelopoulos; Alfred Grill; Vishnubhai Vitthalbhai Patel; Scott Halle; Timothy A. Brunner; Richard A. Conti; Scott D. Allen; Richard S. Wise

The importance of hardmask technology is becoming increasingly evident as the demand for high-resolution imaging dictates the use of ever-thinner resist films. An appropriately designed etch resistant hardmask used in conjunction with a thin resist can provide the combined lithographic and etch performance needed for sub-100 nm device fabrication. We have developed a silicon-based, plasma-enhanced chemical vapor deposition (PECVD) prepared material that performs both as an antireflective coating (ARC) and a hardmask and thus enables the use of thin resists for device fabrication. This ARC/hardmask material offers several advantages over organic bottom antireflective coatings (BARC). These benefits include excellent tunability of the materials optical properties, which allows superior substrate reflectivity control, and high etch selectivity to resist, exceeding 2:1. In addition, this material can serve as an effective hardmask etch barrier during the plasma etching of dielectric stacks, as the underlying silicon oxide etches eight times faster than this material in typical fluorocarbon plasma. These properties enable the pattering of features in 1-2 μm dielectric stacks using thin resists, imaging that would otherwise be impossible with conventional processing. Potential extendibility of this approach to feature sizes below 100nm has been also evaluated. High resolution images as small as 50nm, have been transferred into a 300nm thick SiO2 layer by using Si ARC/hardmask material as an etch mask. Lithographic performance and etch characteristics of a thin resist process over both single layer and index-graded ARC/hardmask materials will be shown.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

High-resolution 248-nm bilayer resist

Qinghuang Lin; Karen Petrillo; Katherina Babich; Douglas Charles Latulipe; David R. Medeiros; Arpan P. Mahorowala; John P. Simons; Marie Angelopoulos; Gregory M. Wallraff; Carl E. Larson; Debra Fenzel-Alexander; Ratnam Sooriyakumaran; Gregory Breyta; Phillip J. Brock; Richard A. Di Pietro; Donald C. Hofer

Bilayer thin film imaging is one approach to extend 248 nm optical lithography to 150 nm regime and beyond. In this paper, we report our progress in the development of a positive-tone bilayer resist system consisting of a thin silicon containing imaging layer over a recently developed crosslinked polymeric underlayer. The chemically amplified imaging layer resist is based on a novel dual-functional silicon containing monomer, tris(trimethylsilyl)silylethyl methacrylate, which in addition to providing etch resistance, also functions as the acid sensitive functionality. The stabilization of (beta) -silyl carboncation by silicon allows this moiety to serve as an acid sensitive protecting group. Thus high silicon content and high resist contrast are achieved simultaneously. Lithographic evaluation of the bilayer resist with a 0.63 NA and a 0.68 NA 248 nm exposure tool has demonstrated resolution down to 125 nm equal line/space features with a dose latitude of 16 percent and depth of focus (DOF) of 0.6 um. The dose latitude and DOF for 150 nm equal line/space features are 22 percent and 1.2 um, respectively. Finally, residue-free, ultra-high aspect ratio resist features have been obtained by O2 or O2/SO2 reactive ion etching using a high-density plasma etch system. The resist design, deprotection chemistry, lithographic and etch characteristics of the top layer, as well as the design of the new underlay, will be discussed.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Characterization and acid diffusion measurements of new strong acid photoacid generators

Gregory M. Wallraff; Carl E. Larson; Nicolette Fender; Blake Davis; David R. Medeiros; Jeff Meute; William M. Lamanna; Mike J. Parent; T. Robeledo; Gregory Young

As resist feature sizes have decreased and the performance demands on chemically amplified photoresists have increased the role of the photoacid generator (PAG) in determining overall resist performance has become increasingly apparent. Over the past 20 years a variety of different types of PAGs have been introduced as researchers have sought to optimize properties such as acid strength, acid volatility, diffusion length, wavelength response, solubility etc. PAGs that produce very strong organic acids are widely used, in part because of requirements for high photospeed resists. Most of these acid generators are based on perfluoroalkyl sulfonic acid based onium salts. In an effort to identify and characterize alternative PAGs we have investigated the performance of a variety of photoacid generators that are not based on sulfonic acids. In this report we will describe the relative reactivities of these PAGs under a variety of exposure wavelengths and processing conditions including acid diffusion proprieties and photospeed measurements.


Journal of Vacuum Science & Technology B | 2007

Measurements of acid generation by extreme ultraviolet irradiation in lithographic films

Martin Glodde; Dario L. Goldfarb; David R. Medeiros; Gregory M. Wallraff; G. Denbeaux

Extreme ultraviolet (EUV) lithography requires photoresist materials that incorporate highly efficient photoacid generators (PAGs) due to the low intensity of the currently available EUV light sources. It is therefore necessary to understand the parameters that control acid generation mechanisms in photoresists under EUV irradiation, such as photoacid generator and base quencher structure, polymer matrix effects, and the interaction of ionizing radiation with the resist components. In this study, a known acid detection technique based on a dye indicator (coumarin 6) has been optimized for the quantification of the amount of photoacid produced by irradiation of lithographic resist films. Incorporation of acid-base indicators to solid polymer matrices has already been employed in previous studies; however, the environmental stability of the reactive species and the influence of the polymer resin have not been considered to date. Here, the authors present a comprehensive evaluation of PAGs that were exposed ...


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Silicon containing polymer in applications for 193 nm high NA lithography processes

Sean D. Burns; Dirk Pfeiffer; Arpan P. Mahorowala; Karen Petrillo; Alexandera Clancy; Katherina Babich; David R. Medeiros; Scott D. Allen; Steven J. Holmes; Michael M. Crouse; Colin J. Brodsky; Victor Pham; Yi-Hsiung Lin; Kaushal S. Patel; Naftali E. Lustig; Allen H. Gabor; Christopher D. Sheraw; Phillip J. Brock; Carl E. Larson

The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC. To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.

Researchain Logo
Decentralizing Knowledge