Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where David Valeri is active.

Publication


Featured researches published by David Valeri.


Proceedings of SPIE | 2012

High sensitivity chemically amplified EUV resists through enhanced EUV absorption

Owendi Ongayi; Matthew D. Christianson; Matthew M. Meyer; Suzanne Coley; David Valeri; Amy Kwok; Mike Wagner; Jim Cameron; Jim Thackeray

Resolution, line edge roughness, sensitivity and low outgassing are the key focus points for extreme ultraviolet (EUV) resist materials. Sensitivity has become increasingly important so as to address throughput concerns in device manufacturing and compensate for the low power of EUV sources. Recent studies have shown that increasing the polymer linear absorption absorption coefficient in EUV resists translates to higher acid generation efficiency and good pattern formation. In this study, novel high absorbing polymer platforms are evaluated. The contributing effect of the novel absorbing chromophore to the resultant chemically amplified photoresist is evaluated and compared with a standard methacrylate PAG Bound Polymer (PBP) platform. We report that by increasing EUV absorption, we cleanly resolved 17 nm 1:1 line space can be achieved at a sensitivity of 14.5 mJ/cm2, which is consistent with dose requirements dictated by the ITRS roadmap. We also probe the effect of fluorinated small molecule additives on acid yield generation (Dil C) at EUV of a PBP platform.


Proceedings of SPIE | 2011

Impact of polymerization process on OOB on lithographic performance of a EUV resist

Vipul Jain; Suzanne Coley; Jung June Lee; Matthew D. Christianson; Daniel J. Arriola; Paul LaBeaume; Maria E. Danis; Nicolas Ortiz; Su-Jin Kang; Michael Wagner; Amy Kwok; David Valeri; James W. Thackeray

Several approaches have been used to minimize LWR in advanced resists. Various polymer and matrix properties, such as polymer molecular volume and free volume fraction, polymer dissolution, impact of activation energy of the deprotection reaction and distribution of small molecules in the polymer matrix have been shown to influence the functional behavior of the resist. We have developed polymerization methods to improve the incorporation and homogeneity of monomers, including PAG monomer, in an EUV resist polymer. Further, we report on use of a new cation which imparts reduced OOB character and a 30% improvement in LWR for a 28nm L/S feature with sensitivity of 10mJ/cm2 versus a control containing the TPS cation. Additionally this new material is capable of 21nm resolution. We also tested the new cation for outgassing by RGA and observed a 60% reduction in outgassing versus a TPS control.


Proceedings of SPIE | 2013

Effect of leaving group design on EUV lithography performance

Owendi Ongayi; Vipul Jain; Suzanne Coley; David Valeri; Amy Kwok; Dung Quach; Mike Wagner; Jim Cameron; Jim Thackeray

In this paper, we will describe some of our efforts on various leaving group designs and their impacts on resist performance, mainly focusing on the leaving group polarity, activation energy and molecular volume. The EUV lithographic performances of the newly designed leaving groups are evaluated on a standard methacrylate polymer bound photoacid generator (PBP) platform. With our low activation energy and hydrophobic leaving group PBP, we report good line and space and contact hole performance using the Albany eMET and LBNL BMET tool.


Proceedings of SPIE | 2013

Development of molecular resist derivatives for EUV lithography

D. Patrick Green; Vipul Jain; Brad C. Bailey; Mike Wagner; Michael B. Clark; David Valeri; Steve Lakso

As part of the continued effort of Dows Electronic Materials business unit to generate novel resists for advanced semiconductor technologies, there has been a recent emphasis on the development of several new photoresists and ancillary platforms to enable EUV lithography. An important aspect for the success of EUV lithography is the development of photoresist materials that can meet the stringent requirements for Resolution, Line width roughness (LWR) and Sensitivity (RLS). While, a great portion of industrial research is focused on the development of polymeric resists like Polymer Bound PAGs (PBPs), small monodisperse organic molecules called molecular glasses (MGs) have gained increased attention over the past several years. If properly designed, MGs could possess physical properties that are very similar to polymeric resists while offering superior performance, specifically towards improving LWR. We have developed several MG resists based on calixarene cores that exhibit promising lithographic performance. We have continued to study the structure-property relationship of these materials with special emphasis on molecular architectures and design strategies for improved photoresist performance. In this paper, we summarize the promising lithographic performance of these MG resists that are considered as alternative choices to polymeric resists for enabling next generation lithography.


Proceedings of SPIE | 2013

High absorbing resists based on trifluoromethacrylate-vinyl ether copolymers for EUV lithography

Matthew D. Christianson; Matthew M. Meyer; Owendi Ongayi; David Valeri; Michael Wagner

Highly sensitive EUV photoresists remain a critical challenge to enable high volume manufacturing with EUV lithography. Chemically amplified resists continue to provide the best sensitivity for EUV photoresists, but the high EUV transparency of most resists continues to waste a large percentage of EUV photons that pass through the resist film without photochemically generating acid. Highly fluorinated polymers have been proposed as more sensitive resist materials because fluorine increases EUV absorption of a material. Thus, methacrylate-like polymers with fluorinated backbones are an attractive possibility for new EUV resist materials. Trifluoromethacrylates are known to undergo free radical polymerization with electron rich comonomers such as vinyl ethers. The resulting polymers have backbone trifluoromethyl groups that enhance the absorption of EUV photons while retaining the potential for solubility change by acid-catalyzed tertiary ester deprotection similar to methacrylate-based chemically amplified resists. Interestingly, copolymerizations of trifluoromethacrylates and vinyl ethers are not particularly sensitive to traditional experimental parameters that influence polymer molecular weight. Instead, we have found that the structure of the vinyl ether comonomer has a profound impact on polymer molecular weight with acyclic vinyl ethers generating very high molecular weight polymers (Mw exceeding 100,000 g/mol) and cyclic vinyl ethers generating very low molecular weight polymers (Mw less than 10,000 g/mol) under similar conditions. Combination of cyclic and non-cyclic vinyl ethers in the monomer feed enables the synthesis of polymers at a desired molecular weight with a narrow polydispersity (PDI less than 2). Initial EUV contrast curves demonstrate potential for highly sensitive photoresists using these backbone-fluorinated polymers. A variety of polymers have been prepared to determine optimum compositions of leaving group, sensitizing, and etch resistant monomers. Additionally, compositions with a variety of functional groups were prepared to optimize the polymer properties to enable resists that form uniform films with low unexposed film thickness loss while maintaining high EUV sensitivity. EUV lithography of one of the resist materials demonstrates its utility as a photoresist capable of printing features to about 30 nm. Continued optimization of materials is focused on resolving features below 20 nm.


Proceedings of SPIE | 2012

Comparison of EUV and e-beam lithographic technologies for sub-22-nm node patterning

James F. Cameron; Jim Thackeray; Jin Wuk Sung; Suzanne Coley; Vipul Jain; Owendi Ongayi; Mike Wagner; Paul LaBeaume; Amy Kwok; David Valeri; Marie Hellion; Béatrice Icard; Bernard Dal'zotto; Claire Sourd; Laurent Pain

Prompted by the fact that the International Technology Roadmap for Semiconductors (ITRS) has declared no proven optical solutions are available for sub 22nm hp patterning, we have investigated e-Beam and Extreme Ultraviolet (EUV) resist performance with a view to High Volume Manufacturing (HVM) at these design rules. Since these patterning technologies are considered the leading candidates to replace Immersion ArF (ArFi) multilevel patterning schemes, it was deemed prudent to assess the readiness of these imaging options. We review the advantages and disadvantages of each patterning method and highlight general technology challenges as well as resist specific challenges. In terms of resist specific challenges, we primarily focus on Resolution, Linewidth roughness and Sensitivity (RLS) tradeoffs for both e-Beam and EUV patterning. These metrics are of particular relevance as the industry continues to contend with the well known tradeoffs between these performance criteria. The RLS relationship is probed for both line space and contact hole patterns with each exposure wavelength. In terms of resist selection, we focus on our advanced Polymer Bound PAG (PBP) resist platform as it has been designed for high resolution applications. We also assess resist outgassing during EUV exposure as it is a potential barrier to adoption of EUV for HVM.


Proceedings of SPIE | 2009

Progress towards production worthy developable BARCs (DBARCs)

James F. Cameron; John Amara; Gregory P. Prokopowicz; Jin Wuk Sung; David Valeri; Adam Ware; Kevin O'Shea; Yoshihiro Yamamoto; Tomoki Kurihara; Libor Vyklicky; Wu-Song Huang; Irene Popova; Pushkara Rao Varanasi

Developable bottom anti-reflective coating (DBARC) technology holds promise in two main areas of lithography. The first application of DBARC is in implant lithography where patterning implant levels would greatly benefit from improved reflection control such as provided by a conventional BARC. However, implant layers cannot withstand BARC open etch thereby making DBARC an attractive solution as the resist and DBARC are simultaneously dissolved during the development step leaving the underlying substrate ready for implantation. In comparison to current implant processes with top anti-reflective coatings (TARC), DBARCs are anticipated to offer improvements in reflection control which would translate to improved CDU and increased process window for both KrF and ArF implants. Indeed, this area has long been considered the ideal insertion point for DBARC technology. The second area where DBARC technology can make a significant impact is in non-implant lithography. In this large segment, the ability to replace a conventional BARC with a DBARC affords the device maker the ability to simplify both lithographic and integration processes. By replacing the BARC with a DBARC, the BARC open etch is negated. Furthermore, by applying this strategy on multilayer stacks it is possible to greatly simplify the process by avoiding both CVD steps and pattern transfer steps thereby easing integration. In this area, DBARC technology could have merit for low k1 KrF and ArF (dry) lithography as well as in immersion ArF processes. This paper describes our results in designing production worthy DBARCs for both implant and non-implant applications. A newly developed KrF DBARC platform is evaluated for logic implant applications and compared to a standard TARC implant process. Post develop residue and defectivity are checked for the new platform and the results compared to production worthy BARC and implant resists. A new ArF platform was also developed and initial lithographic results are reported for an implant application. Several non-implant applications were also investigated and results are reported for high resolution KrF and ArF (dry) lithography as well as an immersion ArF process.


Proceedings of SPIE | 2017

Advanced hole patterning technology using soft spacer materials (Conference Presentation)

Christoph K. Hohle; Roel Gronheid; Jong Keun Park; Phillip D. Hustad; Emad Aqad; David Valeri; Mike Wagner; Mingqi Li

A continuing goal in integrated circuit industry is to increase density of features within patterned masks. One pathway being used by the device manufacturers for patterning beyond the ~80nm pitch limitation of 193 immersion lithography is the self-aligned spacer double patterning (SADP). Two orthogonal line space patterns with subsequent SADP can be used for contact holes multiplication. However, a combination of two immersion exposures, two spacer deposition processes, and two etch processes to reach the desired dimensions makes this process expensive and complicated. One alternative technique for contact hole multiplication is the use of an array of pillar patterns. Pillars, imaged with 193 immersion photolithography, can be uniformly deposited with spacer materials until a hole is formed in the center of 4 pillars. Selective removal of the pillar core gives a reversal of phases, a contact hole where there was once a pillar. However, the highly conformal nature of conventional spacer materials causes a problem with this application. The new holes, formed between 4 pillars, by this method have a tendency to be imperfect and not circular. To improve the contact hole circularity, this paper presents the use of both conventional spacer material and soft spacer materials. Application of soft spacer materials can be achieved by an existing coating track without additional cost burden to the device manufacturers.


Journal of Photopolymer Science and Technology | 2010

Design and development of developable BARCs (DBARCs) for advanced lithographic applications

James F. Cameron; John Amara; Jin Wuk Sung; David Valeri; Adam Ware; Kevin O'Shea; Yoshihiro Yamamoto; Hiroaki Kitaguchi; Libor Vyklicky; Irene Popova; Pushkara Rao Varanashi


Archive | 2015

Photoresist composition and associated method of forming an electronic device

Paul LaBeaume; Vipul Jain; Suzanne Coley; James W. Thackeray; James F. Cameron; Amy Kwok; David Valeri

Collaboration


Dive into the David Valeri's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Vipul Jain

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge