Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Doni Parnell is active.

Publication


Featured researches published by Doni Parnell.


Proceedings of SPIE | 2014

Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow

Roel Gronheid; Paulina Rincon Delgadillo; Hari Pathangi; Dieter Van den Heuvel; Doni Parnell; Boon Teik Chan; Yu-Tsung Lee; Lieve Van Look; Yi Cao; YoungJun Her; Guanyang Lin; Ryota Harukawa; Venkat Nagaswami; Lucia D'Urzo; Mark Somervell; Paul F. Nealey

Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field of study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns and how to make chip designs DSA-friendly. The DSA program at imec includes efforts on these three major topics. Specifically, in this paper the progress in DSA defectivity within the imec program will be discussed. In previous work, defectivity levels of ~560 defects/cm2 were reported and the root causes for these defects were identified, which included particle sources, material interactions and pre-pattern imperfections. The specific efforts that have been undertaken to reduce defectivity in the line/space chemoepitaxy DSA flow that is used for the imec defectivity studies are discussed. Specifically, control of neutral layer material and improved filtration during the block co-polymer manufacturing have enabled a significant reduction in the defect performance. In parallel, efforts have been ongoing to enhance the defect inspection capabilities and allow a high capture rate of the small defects. It is demonstrated that transfer of the polystyrene patterns into the underlying substrate is critical for detecting the DSA-relevant defect modes including microbridges and small dislocations. Such pattern transfer enhances the inspection sensitivity by ~10x. Further improvement through process optimization allows for substantial defectivity reduction.


Journal of Micro-nanolithography Mems and Moems | 2015

Defect mitigation and root cause studies in 14 nm half-pitch chemo-epitaxy directed self-assembly LiNe flow

Hari Pathangi; Boon Teik Chan; Hareen Bayana; Nadia Vandenbroeck; Dieter Van den Heuvel; Lieve Van Look; Paulina Rincon-Delgadillo; Yi Cao; Jihoon Kim; Guanyang Lin; Doni Parnell; Kathleen Nafus; Ryota Harukawa; Ito Chikashi; Marco Polli; Lucia D’Urzo; Roel Gronheid; Paul F. Nealey

Abstract. High-defect density in thermodynamics driven directed self-assembly (DSA) flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high-volume manufacturing. The major questions raised in this regard are: (1) What is the intrinsic level of DSA-induced defects? (2) Can we isolate the DSA-induced defects from the other processes-induced defects? (3) How much do the DSA materials contribute to the final defectivity and can this be controlled? (4) How can we understand the root causes of the DSA-induced defects and their kinetics of annihilation? (5) Can we have block copolymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low-defect levels? We address these important questions and identify the issues and the level of control needed to achieve a stable DSA defect performance.


Proceedings of SPIE | 2014

High-volume manufacturing equipment and processing for directed self-assembly applications

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Etsuo Iijima; T. Nakano; Takumi Ishiguro; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Mariko Ozawa; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Shinchiro Kawakami; Makoto Muramatsu; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below. Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation in high-volume manufacturing. Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream DSA integrations.


Proceedings of SPIE | 2015

Defect mitigation and root cause studies in IMEC's 14nm half-pitch chemo-epitaxy DSA flow

Hari Pathangi; Boon Teik Chan; Hareen Bayana; Nadia Vandenbroeck; Dieter Van den Heuvel; Lieve Van Look; Paulina Rincon-Delgadillo; Yi Cao; Jihoon Kim; Guanyang Lin; Doni Parnell; Kathleen Nafus; Ryota Harukawa; Ito Chikashi; Venkat Nagaswami; Lucia D'Urzo; Roel Gronheid; Paul F. Nealey

High defect density in thermodynamics driven DSA flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high volume manufacturing. The major questions raised in this regard are: 1. What is the intrinsic level of DSA-induced defects, 2. Can we isolate the DSA-induced defects from the other processes-induced defects, 3. How much do the DSA materials contribute to the final defectivity and can this be controlled, 4. How can we understand the root causes of the DSA-induced defects, their kinetics of annihilation and finally, 5. Can we have block co-polymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low defect levels. This manuscript addresses these important questions and identifies the issues and the level of control needed to achieve a stable DSA defect performance.


Proceedings of SPIE | 2013

Progress in directed self-assembly hole shrink applications

Todd R. Younkin; Roel Gronheid; Paulina Rincon Delgadillo; Boon Teik Chan; Nadia Vandenbroeck; S. Demuynck; Ainhoa Romo-Negreira; Doni Parnell; Kathleen Nafus; Shigeru Tahara; Mark Somervell

Directed Self-Assembly (DSA) has become a promising alternative for generating fine lithographic patterns. Since contact holes are among the most difficult structures to resolve through traditional lithographic means, directed selfassembly applications that generate smaller contact holes are of particular interest to the industry. In this paper, DSA integrations that shrink pre-patterned contact holes were explored. The use of both block copolymers (BCPs)1 and blended polymer systems2 was considered. In addition, both wet3 and dry4 techniques were used to develop the central core out of the respective phase-separated morphologies. Finally, the hole patterns created through the various contact hole applications were transferred to substrates of interest with the goal of incorporating them into an IMEC 28 nm node via chain electrical test vehicle for direct, side-by-side comparison.


Proceedings of SPIE | 2015

Driving DSA into volume manufacturing

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Shinichiro Kawakami; Makoto Muramatsu; Etsuo Iijima; Vinayak Rastogi; T. Nakano; Fumiko Iwao; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is being extensively evaluated for application in semiconductor process integration.1-7 Since 2011, the number of publications on DSA at SPIE has exploded from roughly 26 to well over 80, indicating the groundswell of interest in the technology. Driving this interest are a number of attractive aspects of DSA including the ability to form both line/space and hole patterns at dimensions below 15 nm, the ability to achieve pitch multiplication to extend optical lithography, and the relatively low cost of the processes when compared with EUV or multiple patterning options. Tokyo Electron Limited has focused its efforts in scaling many laboratory demonstrations to 300 mm wafers. Additionally, we have recognized that the use of DSA requires specific design considerations to create robust layouts. To this end, we have discussed the development of a DSA ecosystem that will make DSA a viable technology for our industry, and we have partnered with numerous companies to aid in the development of the ecosystem. This presentation will focus on our continuing role in developing the equipment required for DSA implementation specifically discussing defectivity reduction on flows for making line-space and hole patterns, etch transfer of DSA patterns into substrates of interest, and integration of DSA processes into larger patterning schemes.


Proceedings of SPIE | 2017

DSA patterning options for logics and memory applications

Chi-Chun Liu; Elliott Franke; Yann Mignot; Scott LeFevre; Stuart A. Sieg; Cheng Chi; Luciana Meli; Doni Parnell; Kristin Schmidt; Martha I. Sanchez; Lovejeet Singh; Tsuyoshi Furukawa; Indira Seshadri; Ekmini A. De Silva; Hsinyu Tsai; Kafai Lai; Hoa Truong; Richard Farrell; Robert L. Bruce; Mark Somervell; Daniel P. Sanders; Nelson Felix; John C. Arnold; David Hetzer; Akiteru Ko; Andrew Metz; Matthew E. Colburn; Daniel Corliss

The progress of three potential DSA applications, i.e. fin formation, via shrink, and pillars, were reviewed in this paper. For fin application, in addition to pattern quality, other important considerations such as customization and design flexibility were discussed. An electrical viachain study verified the DSA rectification effect on CD distribution by showing a tighter current distribution compared to that derived from the guiding pattern direct transfer without using DSA. Finally, a structural demonstration of pillar formation highlights the importance of pattern transfer in retaining both the CD and local CDU improvement from DSA. The learning from these three case studies can provide perspectives that may not have been considered thoroughly in the past. By including more important elements during DSA process development, the DSA maturity can be further advanced and move DSA closer to HVM adoption.


Proceedings of SPIE | 2015

Patterning sub-25nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patterns

Arjun Singh; Boon Teik Chan; Doni Parnell; Hengpeng Wu; Jian Yin; Yi Cao; Roel Gronheid

The patterning potential of block copolymer (BCP) materials via various directed self-assembly (DSA) schemes has been demonstrated for over a decade. We have previously reported the HONEYCOMB flow; a process flow where we utilize Extreme Ultraviolet Lithography and Oxygen plasma to guide the assembly of cylindrical phase BCPs into regular hexagonal arrays of contact holes [1, 2]. In this work we report the development of a new process flow, the CHIPS flow, where we use ArFi lithography to print guiding patterns for the chemo-epitaxial DSA of BCPs. Using this process flow we demonstrate BCP assembly into hexagonal arrays with sub-25 nm half-pitch and discuss critical steps of the process flow. Additionally, we discuss the influence of under-layer surface energy on the DSA process window and report contact hole metrology results.


Proceedings of SPIE | 2016

Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Danilo De Simone; Ming Mao; Michael Kocsis; Peter De Schepper; Frederic Lazzarino; Geert Vandenberghe; Jason K. Stowers; Stephen T. Meyers; Benjamin L. Clark; Andrew Grenville; Vinh Luong; Fumiko Yamashita; Doni Parnell

Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.


Proceedings of SPIE | 2014

Evaluation of integration schemes for contact-hole grapho-epitaxy DSA: a study of substrate and template affinity control

A. Romo-Negreira; Todd R. Younkin; Roel Gronheid; S. Demuynck; Nadia Vandenbroeck; T. Seo; D. J. Guerrero; Doni Parnell; M. Muramatsu; S. Kawakami; T. Yamauchi; Kathleen Nafus; Mark Somervell

An electrical test vehicle for fabricating direct self-assembly (DSA) sub-30 nm via interconnects has been fabricated employing a soft mask grapho-epitaxy contact-hole shrink. The generation of the resist pre-pattern was carried out using 193i lithography on three different stacks and the BCP assembly was evaluated with and without template affinity control on the resist pre-pattern. After DSA shrink, the holes were transferred in a 100 nm oxide for standard Tungsten metallization for electrical characterization.

Collaboration


Dive into the Doni Parnell's collaboration.

Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Nadia Vandenbroeck

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Yi Cao

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Guanyang Lin

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge