Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where E. Kolawa is active.

Publication


Featured researches published by E. Kolawa.


Journal of Applied Physics | 1991

Tantalum‐based diffusion barriers in Si/Cu VLSI metallizations

E. Kolawa; J. S. Chen; J. S. Reid; P.J. Pokela; M.-A. Nicolet

We have studied sputter-deposited Ta, Ta36Si14, and Ta36Si14N50 thin films as diffusion barriers between Cu overlayers and Si substrates. Electrical measurements on Si n + p shallow junction diodes demonstrate that a 180-nm-thick Ta film is not an effective diffusion barrier. For the standard test of 30-min annealing in vacuum applied in the present study, the Ta barrier fails after annealing at 500 °C. An amorphous Ta74Si26 thin film improves the performance by raising the failure temperature of a /Ta74Si26(100 nm)/Cu(500 nm) metallization to 650 °C. Unparalled results are obtained with an amorphous ternary Ta36Si14N50 thin film in the Si/Ta36Si14N50 (120 nm)/Cu(500 nm) and in the Si/TiSi2(30 nm)/Ta36SiN50 (80 nm)/Cu(500 nm) metallization that break down only after annealing at 900 °C. The failure is induced by a premature crystallization of the Ta36Si14N50 alloy (whose crystallization temperature exceeds 1000 °C) when in contact with copper.


Thin Solid Films | 1993

Evaluation of amorphous (Mo, Ta, W)SiN diffusion barriers for 〈Si〉|Cu metallizations

J. S. Reid; E. Kolawa; R. Ruiz; M.-A. Nicolet

Abstract Amorphous binary M(= Mo, Ta or W)-Si and ternary MSiN, r.f.-sputtered from M 5 Si 3 and WSi 2 targets, are assessed as diffusion barriers between silicon substrates and copper overlayers. By I ( V ) tests of the metallizations on n + p shallow junction diodes, the ternary MSiN barriers prevent copper from reaching the silicon at 800 °C or higher during a 30 min heat treatment in vacuum. Failure of the metallizations correlates with the crystallization temperature of the barrier, which is presumably a prelude to fast grain-boundary diffusion. Metal-rich MoSiN and WSiN barriers liberate nitrogen during annealing, which poses a limitation to their crystallization temperatures. No reaction products of copper with metal-rich MSi or MSiN barriers are observed, which is in agreement with our recent thermodynamic modelling of the MSiCu ternary systems.


Journal of Applied Physics | 1997

REACTIVELY SPUTTERED TI-SI-N FILMS. I. PHYSICAL PROPERTIES

Xiankai Sun; J. S. Reid; E. Kolawa; M.-A. Nicolet

Films of Ti-Si-N were synthesized by reactively sputtering TiSi2, Ti5Si3, or Ti3Si targets in an Ar/N2 gas mixture. They were characterized in terms of their composition by MeV 4He backscattering spectrometry, their atomic density by thickness measurements combined with backscattering data, their microstructure by x-ray diffraction and high-resolution transmission electron microscopy, and their electrical resistivity by four-point-probe measurements. All films have a metal–to–silicon ratio close to that of their respective targets. The as-deposited films are either entirely amorphous or contain inclusions of TiN-like nanometer-sized grains when the overall atomic composition of the films approaches the TiN phase in the ternary Ti-Si-N diagram. A correlation between the resistivity of the as-deposited films and their position in the ternary phase diagram is evident, indicating that at the atomic scale, the spatial arrangement of atoms in the amorphous phase and their bonding character can approximate those...


Thin Solid Films | 1993

Properties of reactively sputter-deposited TaN thin films

Xin Sun; E. Kolawa; J. S. Chen; J. S. Reid; Marc-A. Nicolet

Abstract We deposited TaN films by reactive r.f. sputtering from a Ta target with an N 2 Ar gas mixture. Alloys over a composition range 0–60 at.% N have been synthesized. We report on their composition, structure and electrical resistivity before and after vacuum annealing in the temperature range 500–800 °C. We found that the film growth rate decreases with increasing ratio of the nitrogen flow rate to the total flow rate, while the nitrogen content in the films first increases with the N 2 partial flow rate and then saturates at about 60 at.%. B.c.c.-Ta, Ta 2 N, TaN and Ta 5 N 6 appear in succession as the nitrogen content rises, with Ta 2 N being the only single-phase film obtained. The atomic density of the films generally increases with the nitrogen content in the film. Transmission electron micrographs show that the grain size decreases from about 25 to 4 nm as the nitrogen concentration increases from 20 to 50 at.%. The Ta 2 N phase can exist over a wide range of nitrogen concentration from about 25 to 45 at.%. For as-deposited films an amorphous phase exists along with polycrystalline Ta 2 N in the center portion of that range. This phase crystallizes after vacuum annealing at 600 °C for 65 min. A diagram of stable and metastable phases for TaN films based on X-ray diffraction and transmission electron microscopy results is constructed. The resistivity is below 0.3 m ohms cm for films with 0–50 at.% N and changes little upon vacuum annealing at 800 °C.


Thin Solid Films | 1998

Full field measurements of curvature using coherent gradient sensing : application to thin film characterization

Ares J. Rosakis; Raman P. Singh; Y. Tsuji; E. Kolawa; N.R Moore

This paper introduces coherent gradient sensing (CGS) as an optical, full-field, real-time, non-intrusive and non-contact technique for measurement of curvature and curvature changes in thin film and micro-mechanical structures. The technique is applied to determine components of the curvature tensor field in multilayered thin films deposited on silicon wafers. Curvature field measurements using CGS are compared with average curvatures obtained using high-resolution X-ray diffraction. Finally, examples are presented to demonstrate the capability of CGS in measuring curvature in a variety of thin film and micro-mechanical structures.


IEEE Electron Device Letters | 1994

Ti-Si-N diffusion barriers between silicon and copper

J. S. Reid; X. Sun; E. Kolawa; Marc-A. Nicolet

Thin films of Ti-Si-N, reactively spattered from a Ti/sub 5/Si/sub 3/ target, are assessed as diffusion barriers between silicon substrates and copper overlayers. By tests on shallow-junction diodes, a 100 nm Ti/sub 34/Si/sub 23/N/sub 43/ barrier is able to prevent copper from reaching the silicon substrate during a 850/spl deg/C/30 min anneal in vacuum. A 10 nm film prevents diffusion up to 650/spl deg/C/30 min. By high-resolution transmission electron microscopy, Ti/sub 34/Si/sub 23/N/sub 43/ predominantly consists of nanophase TiN grains roughly 2 nm in size.<<ETX>>


Journal of Vacuum Science and Technology | 1990

Amorphous Ta-Si-N thin-film alloys as diffusion barrier in Al/Si metallizations

E. Kolawa; J. M. Molarius; C. W. Nieh; M.-A. Nicolet

Amorphous Ta–Si–N thin films of a wide range of compositions were prepared by rf reactive sputtering of a Ta5Si3 target in a N2/Ar plasma. The relationship between films’ composition and resistivity is reported. All obtained films were tested as diffusion barriers between Al and Si. Backscattering spectrometry combined with cross‐sectional transmission electron microscopy were used to determine the barrier effectiveness. It was found that aluminum can be melted on top of the Si/Ta–Si–N structure (675 °C for 30 min) without any evidence of metallurgical interactions between the layers.


IEEE Electron Device Letters | 1991

Sputtered Ta-Si-N diffusion barriers in Cu metallizations for Si

E. Kolawa; P. J. Pokela; J. S. Reid; J. S. Chen; R. P. Ruiz; M.-A. Nicolet

Electrical measurements on shallow Si n/sup +/-p junction diodes with a 30-nm TiSi/sub 2/ contacting layer demonstrate that an 80-nm-thick amorphous Ta/sub 36/Si/sub 14/N/sub 50/ film prepared by reactive RF sputtering of a Ta/sub 5/Si/sub 3/ target in an Ar N/sub 2/ plasma very effectively prevents the interaction between the Si substrate with the TiSi/sub 2/ contacting layer and a 500-nm Cu overlayer. The Ta/sub 36/Si/sub 14/N/sub 50/ diffusion barrier maintains the integrity of the I-V characteristics up to 900 C for 30-min annealing in vacuum. It is concluded that the amorphous Ta/sub 36/Si/sub 14/N/sub 50/ alloy is not only a material with a very low reactivity for copper, titanium, and silicon, but must have a small diffusivity for copper as well.<<ETX>>


Journal of Applied Physics | 1996

Amorphous (Mo, Ta, or W)-Si-N diffusion barriers for Al metallizations

J. S. Reid; E. Kolawa; Carol M. Garland; M.-A. Nicolet; F. Cardone; D. Gupta; R. Ruiz

M–Si–N and M–Si (M=Mo, Ta, or W) thin films, reactively sputtered from M5Si3 and WSi2 targets, are examined as diffusion barriers for aluminum metallizations of silicon. Methods of analysis include electrical tests of shallow-junction diodes, 4He + + backscattering spectrometry, x-ray diffraction, transmission electron microscopy, scanning electron microscopy, and secondary-ion-mass spectrometry. At the proper compositions, the M–Si–N films prevent Al overlayers from electrically degrading shallow-junction diodes after 10 min anneals above the melting point of aluminum. Secondary-ion-mass spectrometry indicates virtually no diffusivity of Al into the M–Si–N films during a 700 °C/10 h treatment. The stability can be partially attributed to a self-sealing 3-nm-thick AlN layer that grows at the M–Si–N/Al interface, as seen by transmission electron microscopy.


Applied Surface Science | 1991

Performance of W100−xNx diffusion barriers between 〈Si〉 and Cu

P.J. Pokela; C.‐K. Kwok; E. Kolawa; S. Raud; M.-A. Nicolet

Abstract The performance of reactively RF sputtered tungsten nitride diffusion barriers in both amorphous (W 76 N 24 ) and polycrystalline (W 46 N 54 ) forms is studied in the 〈Si〉/W 100- x N x /Cu contact metallization by electrical measurements on shallow n + p jun ction diodes, backscattering spectrometry, and X-ray diffraction analyses. The DC characteristics of the diodes measured before and after vacuum annealings for 30 min reveal that about 120 nm thick, initially X-ray amorphous W 76 N 24 film between 〈Si〉 and Cu preserves the integrity of the metallization up to 750° C. The stability is confirmed also both by 2 MeV 4 He 2+ backscattering and X-ray diffraction analyses. Annealing at 800°C for 30 min results in overall intermixing of the layers, causing a shorting of the shallow junction diodes and forming a mixture of Cu, β-W 2 N, α-W, (Cu, Si)′, and W 5 Si 3 phases in the structure observed by X-rays. Analysis by electrical measurements on shallow junction diodes and X-ray diffraction reveals that the initially polycrystalline form of the W 100- x N x alloy is an inferior barrier. After annealing at 750°C for 30 min the DC characteristics of the diodes show a significant increase of the leakage current, and a mixture of Cu, β-W 2 N, and (Cu, Si)-ϵ phases are found by X-ray diffraction analysis.

Collaboration


Dive into the E. Kolawa's collaboration.

Top Co-Authors

Avatar

M.-A. Nicolet

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

J. S. Chen

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

J. S. Reid

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

R. Ruiz

Jet Propulsion Laboratory

View shared research outputs
Top Co-Authors

Avatar

Marc-A. Nicolet

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

P.J. Pokela

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

F. C. T. So

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

J.M. Molarius

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Jason Reid

California Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge