Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ellison Chen is active.

Publication


Featured researches published by Ellison Chen.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Process window impact of progressive mask defects, its inspection and disposition techniques (go / no-go criteria) via a lithographic detector

Jerry Huang; Lan-Hsin Peng; Chih-Wei Chu; Kaustuve Bhattacharyya; Ben Eynon; Farzin Mirzaagha; Tony DiBiase; Kong Son; Jackie Cheng; Ellison Chen; Den Wang

Progressive mask defect problem is an industry wide mask reliability issue. During the start of this problem when the defects on masks are just forming and are still non-critical, it is possible to continue to run such a problem mask in production with relatively low risk of yield impact. But when the defects approach more critical state, a decision needs to be made whether to pull the mask out of production to send for clean (repair). As this problem increases on the high-end masks running DUV lithography where masks are expensive, it is in the interest of the fab to sustain these problem masks in production as long as possible and take these out of production only when absolutely necessary; i.e., when the defects have reached such a critical condition on these masks that it will impact the process window. During the course of this technical work, investigation has been done towards understanding the impact of such small progressive defects on process window. It was seen that a small growing defect may not print at the best focus exposure condition, but it can still influence the process window and can shrink it significantly. With the help of a high-resolution direct reticle inspection, early detection of these defects is possible, but fabs are still searching for a way to disposition (make a go / no-go decision) on these defective masks. But it is not an easy task as the impact of these defects will depend on not only their size, but also on their transmission and MEEF. A lithographic detector has been evaluated to see if this can predict the criticality of such progressive mask defects.


Photomask and Next Generation Lithography Mask Technology XII | 2005

Implementation of an efficient defect classification methodology for advanced reticle inspection

Paul Yu; Vincent Hsu; Ellison Chen; Rick Lai; Kong Son; Weimin Ma; Peter Chang; Jackie Chen

As design rule continues to shrink towards ITRS roadmap requirements, reticle defect capture criteria are becoming ever more challenging. Pattern fidelity and reticle defects that were once perceived as insignificant or nuisance are now becoming a significant considerable yield impacting factor. More defects are also detectable and presented with increase in implementation of new generation reticle inspection systems. Therefore, how to review and characterize defects accurately and efficiently is becoming more significant. In particular, defect classification time often corresponds directly to the cost and the cycle time of mask manufacturing or new technology development. In this study we introduce a new mask defect review tool called ReviewSmart, which retrieves and processes defect images reported from KLA-Tencors high sensitivity TeraScan inspection tool. Compared to the traditional defect review method, ReviewSmart provides a much better method to manage defects efficiently by utilizing the concept of defect grouping disposition. Through the application and qualification results with respectable reticle production cases, the implementation of ReviewSmart has been proven to be effective for reducing defect classification loading and improving defect characterizing efficiency. Moreover, the new review tool is helpful to categorically identify tool or process variations thus allowing users to expedite the learning process for developing production worthy leading node processes.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Inspection of advanced computational lithography logic reticles using a 193-nm inspection system

Ching-Fang Yu; Mei-Chun Lin; Mei-Tsu Lai; Luke T. H. Hsu; Angus Chin; Shin-Chang Lee; Anthony Yen; Jim Wang; Ellison Chen; David Wu; William H. Broadbent; William Huang; Zinggang Zhu

We report inspection results of early 22-nm logic reticles designed with both conventional and computational lithography methods. Inspection is performed using a state-of-the-art 193-nm reticle inspection system in the reticleplane inspection mode (RPI) where both rule-based sensitivity control (RSC) and a newer modelbased sensitivity control (MSC) method are tested. The evaluation includes defect detection performance using several special test reticles designed with both conventional and computational lithography methods; the reticles contain a variety of programmed critical defects which are measured based on wafer print impact. Also included are inspection results from several full-field product reticles designed with both conventional and computational lithography methods to determine if low nuisance-defect counts can be achieved. These early reticles are largely single-die and all inspections are performed in the die-to-database inspection mode only.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Improvement in defect classification efficiency by grouping disposition for reticle inspection

Rick Lai; Luke T. H. Hsu; Peter Chang; C.H. Ho; Frankie Tsai; Garrett John Long; Paul Yu; John Miller; Vincent Hsu; Ellison Chen

As the lithography design rule of IC manufacturing continues to migrate toward more advanced technology nodes, the mask error enhancement factor (MEEF) increases and necessitates the use of aggressive OPC features. These aggressive OPC features pose challenges to reticle inspection due to high false detection, which is time-consuming for defect classification and impacts the throughput of mask manufacturing. Moreover, higher MEEF leads to stricter mask defect capture criteria so that new generation reticle inspection tool is equipped with better detection capability. Hence, mask process induced defects, which were once undetectable, are now detected and results in the increase of total defect count. Therefore, how to review and characterize reticle defects efficiently is becoming more significant. A new defect review system called ReviewSmart has been developed based on the concept of defect grouping disposition. The review system intelligently bins repeating or similar defects into defect groups and thus allows operators to review massive defects more efficiently. Compared to the conventional defect review method, ReviewSmart not only reduces defect classification time and human judgment error, but also eliminates desensitization that is formerly inevitable. In this study, we attempt to explore the most efficient use of ReviewSmart by evaluating various defect binning conditions. The optimal binning conditions are obtained and have been verified for fidelity qualification through inspection reports (IRs) of production masks. The experiment results help to achieve the best defect classification efficiency when using ReviewSmart in the mask manufacturing and development.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Fast integrated die-to-die transmitted, reflected and STARlight-2 defect inspection on memory masks

Andy Lan; Jenny Hsu; Swapnajit Chakravarty; Vincent Hsu; Ellison Chen; Eric Haodong Lu; John Miller

Single mode inspections, using die-to-die Transmitted (ddT) or die-to-die Reflected (ddR) modes, provides a high level of sensitivity to opaque and clear defects on reticles. Die-to-die (DD) inspections however, cannot inspect the scribes or frames which are potential locations for haze growth. Historically, STARLight-2TM (SL2) has been the only mode effectively utilized for contamination inspection in reticle scribes and frames. However, SL2 is designed for identifying contamination and not pattern defects on a mask. The solution presented here is Fast Integrated Inspection which includes ddT, ddR, and SL2, and allows the user to inspect a reticle for pattern and contamination defects over patterned areas and scribes simultaneously, and in unit time.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Recipe optimization of fab mask inspection for 180~90nm reticles to save inspection time and improve productivity

Eric Haodong Lu; Ching Yun Hsiang; Jim Wang; Jinggang Zhu; Ellison Chen; Kaustuve Bhattacharyya

IC manufacturing fabs are experiencing mask reliability issues caused by progressive mask defects, such as crystal growth, haze and etc. with the increase of the usage of DUV, especially 193nm lithography on 90nm technology node and beyond. 193nm lithography has triggered an increasing demand for mask re-qualification in those manufacturing fabs which process 90nm technology node wafers in mass production. Due to dramatic increase in re-qualification demand, the capacity of mask inspection becomes constrain of the manufacturing output. In this paper authors employed widely used KLA SLF inspection systems and investigated inspection scan modes (Fastscan mode and Normal scan mode) and algorithms to optimize recipes on STARlight. Economically and practically, it is important for wafer fabs to optimize mask inspection recipes and improve throughput in order to extend the capacity of mask inspections without additional equipment investment. The Fastscan mode has the capability to move reticle stage as fast as twice of the Normal scan mode in x-direction resulting in a substantial saving of inspection time. Even faster stage move causes slightly reduction on the sampling of contamination defects, the overall defect inspection maintains the same quality as the Normal scan mode in terms of early warning of mask re-qualification. During the study we collect and analyze inspection data on two production masks and a standard test mask Orion5B. Based on empirical data collected in the study, the Fastscan inspection mode is able to reduce inspection time approximately 28% to 38% at P150.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

To improve reticle re-qualification process and reduce reticle re-cleaning frequency using efficient defect classification and defect tracking

Eric Haodong Lu; Jim Wang; Raj Badoni; Ellison Chen; Weimin Ma

For improving productivity and reducing manufacturing cost, it is critical for wafer fabs to reduce the frequency of reticle re-clean and control the risk of missing defects of lithographic significance from overall haze defected. Haze classification and haze behavior monitoring are highly time consuming processes. Many wafer fabs skip such operations and instead re-clean reticles frequently in order to reduce the risk of missing killer haze defects. Such Reticle Re-Qual rule leads to more than necessary reticle re-cleaning, shortening the life cycle of reticles and increasing the manufacturing cost. In this paper, we investigate an efficient defect classification method - ReviewSmart, and defect auto tracking method to classify defects and efficient tracking haze growth. A solution is discussed for wafer fabs to monitor haze behaviors and improve Reticle Re-Qual rules for controlling and reducing manufacturing cost at lower risk. A total of more then 30 production reticles of critical layers of OD, Poly, Contact and Metal 1 were inspected by STARLight2TM on KLA-Tencor TeraScan SL516 system. ReviewSmart processed all the defects detected during Reticle Re-Qual inspection. The results showed significant reduction in defect review times, with 100% fidelity rate.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Study of chromeless mask quartz defect detection capability for 80-nm post structure

Jerry Lu; Boster Wang; Frank F. Chen; Orion Wang; Jomarch Chou; Orson Lin; Jackie Cheng; Ellison Chen; Paul Yu

Chrome-less Phase Lithography (CPL) was introduced as a potential strong Resolution Enhancement Technology (RET) for 90nm to 65nm node critical layers. One of the important issue with trench type chrome-less mask manufacturing for post structure is quartz defect detection capability. This study will focus on half pitch 80nm (1X) design node and apply different trench sizes and programmed defect sizes. All test patterns will be inspected on KLA-Tencor TeraScan576 inspection tool with both standard Die-to-Die (DD) and TeraPhase DD inspection modes to determine defect detection capability. All programmed defects will also be simulated on the Zeiss AIMS Fab-193 to determine wafer CD error. Finally, we will establish the relationship between trench size, defect detection capability and printability, and summarize the chrome-less mask quartz defect detection capability for 80nm post structure application.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Evaluation and implementation of TeraScan reflected light die-to-database inspection mode for 65nm design node process

Luke T. H. Hsu; C.H. Ho; C. C. Lin; Vincent Hsu; Ellison Chen; Paul Yu; Kong Son

The standard inspection flow typically consists of transmitted light pattern inspection (die-to-die or die-to-database) and STARlightTM (Simultaneous Transmitted And Reflective Light) contamination inspection. The initial introduction of TeraScan (DUV) inspection system was limited to transmitted pattern inspection modes. Hence, complete inspections of critical mask layers required utilizing TeraScan for maximized pattern defect sensitivity and the previous generation TeraStar (UV) for STARlightTM contamination inspection. Recently, the reflective light die-to-database (dbR) inspection mode was introduced on the DUV tool to compliment transmitted light die-to-database (dbT) inspection. The dbR inspection mode provides not only pattern inspection but also contamination inspection capabilities. The intent of this evaluation is to characterize the dbR inspection capability on pattern defects and contaminations. A series of standard programmed defect test plates will be used to evaluate pattern inspection capability and a PSL test plate will be used to determine the contamination performance. Inspection results will be compared to the current inspection process of record (dbT + STARlightTM). Lastly, the learning will be used to develop and implement an optimal dbR inspection flow for selected critical layers of the 65-nm node to meet the inspection criteria and minimize the cycle time.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Implementation of new recticle inspection technology for progressive mask defect detection strategy on memory fab

Andy Lan; Jenny Hsu; Todd T. Shih; Toroy Tien; Jackie Cheng; Mike Yeh; Ellison Chen; David Wu

Collaboration


Dive into the Ellison Chen's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge