Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Georgia K. Rich is active.

Publication


Featured researches published by Georgia K. Rich.


Advances in Resist Technology and Processing XVII | 2000

Pattern collapse in high-aspect-ratio DUV and 193-nm resists

Wolf-Dieter Domke; Victoria L. Graffenberg; Shashikant Patel; Georgia K. Rich; Heidi B. Cao; Paul F. Nealey

The pattern collapse behavior of a set of 193 nm resists in high aspect ratios was quantified. For all the resists investigated a general behavior could be observed: the collapse did not only depend on aspect ratio but also on pitch. With higher aspect ratio/pitch (normalized aspect ratio: NAR) all the resists go in a sigmoidal step from no collapse to total collapse. Surfactants in the developer did not have a consistent effect on pattern collapse. Resists of different polymer structure showed a very different tendency to collapse: acrylic resists collapse earlier than cyclo- olefinic resists. It could be deduced that pattern collapse will be a significant problem starting at the 130 nm node, if the film thickness range of the SIA roadmap are maintained. Comparison with data obtained for DUV resists showed that pattern collapse might limit the application of DUV resists in NGL. The modeling work at the University of Wisconsin shows the big impact of thermo-mechanical thin film properties on the pattern collapse problem.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Collapse behavior of single layer 193- and 157-nm resists: use of surfactants in the rinse to realize the sub-130-nm nodes

Stefan Hien; Georgia K. Rich; Gilbert Molina; Heidi B. Cao; Paul F. Nealey

In this study we determined the dimension dependent onset of pattern collapse for different 193 and 157nm resist platforms, and explored production relevant techniques to suppress pattern collapse. Test structures were designed and implemented to generate well-defined capillary forces on beams of resist during drying. X-ray and 193nm (using alternating phase shifting masks) lithography were used to print test structures and patterns of dense lines with critical dimensions as small as 100 nm. The collapse behavior was quantified in terms of the critical aspect ratio for collapse as a function of the spacing between structures. The resist platforms exhibited different collapse behavior at line widths of greater than 150 nm, but at line widths of 100 nm and less, all of the resist structures collapsed with aspect ratios greater than 3. A principal conclusion from this work is that changes in resist chemistry or formulation alone will not be sufficient to solve the collapse problem at the 100 nm node and below. The most effective strategy to suppress the resist collapse is to reduce the capillary forces that act on the structures during drying. For 193 nm resists, collapse behavior was quantified for a number of surfactants that were added to the rinse liquid. We demonstrate that with a simple modification of the final rinse and drying process, we could increase the critical aspect ratio from 4.2 to 5.2 at a spacing of 110 nm for a champion resist. This means, for example, that we can image 110 nm dense lines with the surfactant rinse at a thickness of 575 nm whereas without surfactant we were limited to 460 nm. The results are interpreted in terms of the contact angles of rinse liquids on the resists and their respective surface tensions.


26th Annual International Symposium on Microlithography | 2001

Photoresist outgassing at 157 nm exposure

Stefan Hien; Steve Angood; Dominic Ashworth; Steve Basset; Theodore M. Bloomstein; Kim Dean; Roderick R. Kunz; Daniel Miller; Shashikant Patel; Georgia K. Rich

Contamination of optical elements during photoresist exposure is a serious issue in optical lithography. The outgassing of photoresist has been identified as a problem at 248nm and 193nm in production because the organic films that can be formed on an exposure lens can cause transmission loss and sever image distortion. At these exposure energies, the excitation of the photo acid generator, formation of acid, and cleavage of the protecting group are highly selective processes. At 157nm, the exposure energy is much higher (7.9 eV compared to 6.4 eV at 193nm) and it is known from laser ablation experiments that direct laser cleavage of sigma bonds occurs. The fragments formed during this irradiation can be considered as effective laser deposition precursors even in the mid ppb level. In this study, methods to quantify photoresist outgassing at 157 nm are discussed. Three criteria have been set up at International SEMATECH to protect lens contamination and to determine the severity of photoresist outgassing. First, we measured film thickness loss as a function of exposure dose for a variety of materials. In a second test we studied the molecular composition of the outgassing fragments with an exposure chamber coupled to a gas chromatograph and a mass spectrometer detector. Our third method was a deposition test of outgassing vapors on a CaF2 proof plate followed by analysis using VUV and X-ray photoelectron spectroscopies (XPS). With this technique we found deposits for many different resists. Our main focus is on F- and Si- containing resists. Both material classes form deposits especially if these atoms are bound to the polymer side chains. Whereas the F-containing films can be cleaned off under 157nm irradiation, cleaning of Si-containing films mainly produces SiO2. Our cleaning studies of plasma deposited F-containing organic films on SiO2 did not indicate damage of this surface by the possible formation of HF. Despite that we strongly recommend engineering measures to overcome contamination by resist, such as optimizing the purge flow between the final lens element and wafer surface or utilization of a lens pellicle.


Proceedings of SPIE | 2007

Novel high-index resists for 193-nm immersion lithography and beyond

Idriss Blakey; Lan Chen; Bronwin Dargaville; Heping Liu; Andrew K. Whittaker; Will Conley; Emil Piscani; Georgia K. Rich; Alvina M. Williams; Paul Zimmerman

A preliminary Quantitative Structure Property Relationship (QSPR) model for predicting the refractive index of small molecules and polymers at 193 nm is presented. Although at this stage the model is only semiquantitative we have found it useful for screening databases of commercially-available compounds for high refractive index targets to include in our program of synthesis of high refractive index resist polymers. These resists are targeted for use in 2nd and 3rd generation 193 nm immersion lithography. Using this methodology a range of targets were identified and synthesized via free radical polymerization. Novel resist polymers were also synthesized via Michael addition polymerization. Preliminary dose to clear experiments identified a number of promising candidates for incorporation into high refractive index resist materials. Furthermore, we have demonstrated imaging of a high index resist using water-based 193 nm immersion lithography.


Advances in Resist Technology and Processing XII | 1995

Investigation of deep-ultraviolet photoresists on TiN substrates

Kim Dean; Ronald A. Carpio; Georgia K. Rich

The problem of deep ultraviolet (DUV) resist footing on titanium nitride (TiN) substrates has been studied using three different photoresists and TiN films of various stoichiometries. Multiple characterization techniques have been used to characterize the TiN films including auger electron spectroscopy, atomic force microscopy, Rutherford backscattering and reflectivity measurements. Resist footing was compared for process delay experiments, softbake temperature changes, and pretreatments to the TiN substrates. Based on these results and information previously published, it is concluded that detrimental surface and interface states exist on the TiN substrate which are principally reasonable for the footing.


23rd Annual International Symposium on Microlithography | 1998

Optimization of etch conditions for a silicon-containing methacrylate-based bilayer resist for 193-nm lithography

Thomas Steinhaeusler; Allen H. Gabor; Daniela White; Andrew J. Blakeney; David R. Stark; Daniel Miller; Georgia K. Rich; Victoria L. Graffenberg; Kim Dean

The 193 nm photoresist generation will need several technological approaches in order for it to be successfully integrated into manufacturing. These approaches include bilayer, single layer and top surface imaging resists. Bilayer resists offer the advantages of thin film imaging (resolution, depth of focus) and potential advantages in plasma etch resistance due to the possibility of incorporating aromatic components into the undercoat. We have developed a prototype bilayer resist system based on a silicon containing methacrylate imageable layer and a crosslinked styrenic copolymer undercoat which has shown 0.13 micrometers resolution. In this paper we will discuss the effects of O2-RIE and polysilicon etch on resist and substrate profile, selectivity and iso-dense resist.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Contamination and degradation of 157-nm stepper optical components: field experience at International SEMATECH

Jeff Meute; Georgia K. Rich; Stefan Hien; Kim Dean; Carolyn Gondran; Julian S. Cashmore; Dominic Ashworth; James E. Webb; Lisa R. Rich; Paul G. Dewa

Significant improvement in 157nm optical components lifetime is required for successful implementation of pilot and production scale 157nm lithography. To date, most of the 157nm optics lifetime data has been collected in controlled laboratory conditions by introducing predetermined concentrations of contaminants and monitoring degradation in terms of transmission loss. This publication compliments prior work by documenting field experience with the 157nm Exitech Microstepper currently in operation at International SEMATECH. Failure mechanisms of various optical components are presented and molecular contamination levels in purge gas, tool enclosure, and clean room are documented. Finally the impacts of contaminant deposition and degradation of components on imaging performance is discussed.


Advances in Resist Technology and Processing XX | 2003

Evaluation of novel fluorinated resist matrices for 157-nm lithography

Francis M. Houlihan; Andrew R. Romano; David Rentkiewicz; Raj Sakamuri; Ralph R. Dammel; Will Conley; Georgia K. Rich; Daniel Miller; Larry F. Rhodes; Joseph M. McDaniels; Chun Chang

As part of a new generation of more transparent 157 nm resist platforms we are developing, a novel resist system is described that has higher transparency and contrast than AZ FX 1000P. Using a new protecting group strategy, encouraging results have been obtained with both poly(α,α-bis(trifluoromethyl)bicyclo(2.2.1)hept-5-ene-2-ethanol) and a more transparent perfluorinated resin (TFR). These new resist systems show absorbance values as low as 1 μm-1 at 157 nm, have twice the contrast (i.e., 12 instead of 7) of AZ FX 1000P, and have neither significant dark erosion nor do they switch to negative tone behavior within the dose range studied. The dry etch resistance of the TPR platform is found to be superior to APEX-E DUV resist for polysilicon but somewhat lower for oxide etches. Features as small as 50 nm lines and spaces were resolved for slightly relaxed pitches (1:1.5 micron). By adjusting the base level it is possible to improve the photospeed by a factor of more than 10 while still maintaining a resolution of 70 nm L/S features.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Aberration determination in early 157-nm exposure system

Bruce W. Smith; Will Conley; Cesar M. Garza; Jeff Meute; Daniel Miller; Georgia K. Rich; Victoria L. Graffenberg; Kim Dean; Shashikant Patel; Arnie Ford; James Foster; Marco Moers; Kevin Cummings; James E. Webb; Paul G. Dewa; Azeddine Zerrade; Susan S. MacDonald; Greg P. Hughes; Peter Dirksen

Aberrations, aberrations, here there everywhere but how do we collect useful data that can be incorporated into our simulators? Over the past year there have no less than 18 papers published in the literature discussing how to measure aberrations to answering the question if Zernikes are really enough. The ability to accurately measure a Zernike coefficient in a timely cost effective manner can be priceless to device manufacturers. Exposure tool and lens manufacturers are reluctant to provide this information for a host of reasons, however, device manufacturers can use this data to better utilize each tool depending on the level and the type of semiconductors they produce. Dirksen et al. first discussed the ring test as an effective method of determining lens aberrations in a step and repeat system, later in a scanning system. The method is based on two elements; the linear response to the ring test to aberrations and the use of multiple imaging conditions. The authors have been working to further enhance the capability on the test on the first small field 157 nm exposure system at International SEMATECH. This data was generated and analyzed through previously discussed methods for Z5 through Z25 and correlated back to PMI data. Since no 157nm interferemetric systems exist the lens system PMI data was collected at 248nm. Correlation studies have isolated the possible existence of birefringence in the lens systems via the 3-foil aberration which was not seen at 248nm. Imaging experiments have been conducted for various geometrys and structures for critical dimensions ranging from 0.13micrometers down to 0.10micrometers with binary and 0.07micrometers with alternating phase shift mask. The authors will review the results of these experiments and the correlation to imaging data and PMI data.


Advances in Resist Technology and Processing XX | 2003

Baking study of fluorinated 157-nm resist

Francis M. Houlihan; Raj Sakamuri; Andrew R. Romano; Ralph R. Dammel; Will Conley; Georgia K. Rich; Daniel Miller; Larry F. Rhodes; Joseph M. McDaniels; Chun Chang

A statistical design of experiments for the post-applied bake and post-exposure bake temperatures for two types of resists, the commercial formulation AZ FX 1000P and an experimental resist AZ EXP 20 X, was carried out using contrast, clearing dose and dark erosion as response variables examined. It was found that for AZ FX 1000P dark erosion could be suppressed entirely and contrast improved by employing a lower PEB without significant impact on the contrast. In this manner, a substantial improvement in the image quality for AZ FX 1000P was obtained. AZ EXP 20X was not susceptible to dark erosion at higher post-applied bakes as was AZ FX 1000P. Both resists gave better imaging at lower post-exposure bake temperatures in the range of ~110°C, presumably because of excessive acid diffusion at higher temperatures, such as 150°C. Generally, the contrast achievable with AZ EXP 20 X (>16) is much higher than that possible for AZ FX 1000P (~6).

Collaboration


Dive into the Georgia K. Rich's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Will Conley

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge