Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gerd Pohlers is active.

Publication


Featured researches published by Gerd Pohlers.


26th Annual International Symposium on Microlithography | 2001

Comparison of acid-generating efficiencies in 248 and 193-nm photoresists

James F. Cameron; Nicholas Chan; Kathryn Moore; Gerd Pohlers

Photoacid generation is a critical step in the application of chemically amplified (CA) resist technology. During the key exposure step, a catalytic amount of a strong Bronsted acid is released within these resists. The photoacid is subsequently used in a post-exposure bake step to catalytically react with the resist polymer. In the case of a positive tone resist, an acid sensitive polymer is deprotected to render the exposed areas soluble in dilute aqueous base thereby allowing for pattern development. As the semicondutor industry beings to focus on developing 193 nm photoresists for production, it si important to identify and understand differences between prototype 193nm CA resists and current state of the art 248nm production worth photoresists. The major difference between 193 and 248 nm photoresists is the exposure wavelength, which isr educed to achieve higher resolution based on the Rayleigh equation. However, this change in wavelength has several ramifications: Firstly, the tried, tested and true phenolic polymers used in DUV resists are too absorbent to be used fo 193nm application and had to be replaced by low absorbing, non-aromatic systems. Second, since even these new platforms are still more absorbing at 193 nm than the phenolic matrices are at 248nm, the PAG loading had to be lowered significantly in order to keep the overall absorbance of the resist down. This paper descibes the results of our systematic studies on understanding the reasons for observed differences in photoacid generating efficincy between 193 and 248nm chemically amplified resist systems. First the wavelength effect is studied by comparing the relative acid generating efficiency of onium type PAGs in a prototype 193nm and a DUV photoresist at both 193 nm and 248 nm exposure. Second, the photoacid generating efficiency for these PAGs at 238 nm is compared in both phenolic and non-phenolic based photoresists to probe resist polymer matrix effects. Third, these experiments were repeated while varying the PAG loading in order to probe whether there is an effect of PAG loading on acid generation efficiency. Lastly, by performing all of these studies on two different onium PAG classes (iodonium and sulfonium slats), the impact of the PAG chromophore on acid generation efficiency in both sensitized and unsensitized environments was probed. In all these studies, the C-parameter method is used to determine the quantum yield of photoacid generation. First the exposure wavelength was found to play a significant role in determining the acid generation efficiency of both PAGs, namely efficiency significantly decreases when switching exposure wavelength from 248 to 193nm. Second, it was also found that the change in the resist matrix polymer has a profound impact on the manner in which acid is generated: the phenolic matrix enables sensitized acid generation via electron transfer from the matrix to the PAG, whereas in the acrylate polymer only direct acid generation is observed. Due to the different oxidation potential of iodonium and sulfonium PAGs, the matrix effect impacts the photoacid generation efficiency of the two PAGs very differently. This is apparent in the observed change when going form the phenolic to the methacrylate matrix. Lastly, the presence of the sensitized channel is also responsible for the observed impact of PAG loading in the phenolic polymer, which is largely absent in the acrylate matrix.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Exploratory approaches to the study of acid diffusion and acid loss from polymer films using absorption and fluorescence spectroscopy

Chris Coenjarts; James F. Cameron; Nicole Deschamps; David Hambly; Gerd Pohlers; J. C. Scaiano; Roger F. Sinta; Susan Virdee; Anthony Zampini

As the feature size in microlithography decreases in response to the demand for increasing miniaturization, problems related to acid diffusion and acid loss become more important. In an attempt to address these issues, we have examined various techniques based on absorption and fluorescence spectroscopy. We have synthesized, or developed for applications in this field a range od dyes where their absorption and fluorescence change dramatically as a result of acid-induced changes in their prototropic forms. These dyes represent the basis for an in situ method for quantifying acid in films. Approaches for monitoring acid movement into/out of films have been developed and rate constants for acid loss from poly(vinylphenol) films of various acids at different temperatures have been determined. In an approach to measure acid diffusion, we make use of the fact that fluorescent patterns produced by contact printing of polymer films containing a dye can be readily resolved by fluorescence microscopy and that diffusion leads to deterioration of these images. In yet another approach, we have used laser photolysis techniques with nanosecond-microsecond resolution to generate acid photochemically and monitor on short time scales its arrival to dye-containing sites within the polymer films. The advantages and disadvantages of each technique will be discussed, as well as the role of acid, polymer Tg and temperature on acid diffusion/loss.


Photochemical and Photobiological Sciences | 2004

Evidence of homolytic and heterolytic pathways in the photodissociation of iminosulfonates and direct detection of the p-toluenesulfonyloxyl radical

Pamela A. Arnold; Lauren Fratesi; Elena Bejan; Jim Cameron; Gerd Pohlers; H. Liu; J. C. Scaiano

The mechanistic aspects of the photochemistry of several iminosulfonate photoacid generators (PAGs) have been studied based on product analysis, nanosecond laser flash photolysis, and determination of acid generation efficiencies. Our findings support a competition between homolytic and heterolytic N-O dissociation mechanisms. By measuring the efficiencies of acid generation for each PAG in the presence and absence of an ion quencher, we were able to roughly quantify the degree of branching between heterolytic and homolytic photocleavage pathways for each PAG. The p-toluenesulfonyloxyl radical was detected upon laser flash photolysis of several PAGs and was found to have a lambda(max) at 540 nm. By quenching the 540 nm transient with a variety of reactive species, the rate constants for reaction of the p-toluenesulfonyloxyl radical with these substrates were determined. The p-toluenesulfonyloxyl radical is shown to be a highly reactive species, which undergoes rapid hydrogen transfer and is a powerful oxidizer.


Advances in resist technology and processing XVII | 2000

Comparison of methods for acid quantification: impact of resist components on acid-generating efficiency

James F. Cameron; Leslie Fradkin; Kathryn Moore; Gerd Pohlers

Chemically amplified deep UV (CA-DUV) positive resists are the enabling materials for manufacture of devices at and below 0.18 micrometer design rules in the semiconductor industry. CA-DUV resists are typically based on a combination of an acid labile polymer and a photoacid generator (PAG). Upon UV exposure, a catalytic amount of a strong Bronsted acid is released and is subsequently used in a post-exposure bake step to deprotect the acid labile polymer. Deprotection transforms the acid labile polymer into a base soluble polymer and ultimately enables positive tone image development in dilute aqueous base. As CA-DUV resist systems continue to mature and are used in increasingly demanding situations, it is critical to develop a fundamental understanding of how robust these materials are. One of the most important factors to quantify is how much acid is photogenerated in these systems at key exposure doses. For the purpose of quantifying photoacid generation several methods have been devised. These include spectrophotometric methods, ion conductivity methods and most recently an acid-base type titration similar to the standard addition method. This paper compares many of these techniques. First, comparisons between the most commonly used acid sensitive dye, tetrabromophenol blue sodium salt (TBPB) and a less common acid sensitive dye, Rhodamine B base (RB) are made in several resist systems. Second, the novel acid-base type titration based on the standard addition method is compared to the spectrophotometric titration method. During these studies, the make up of the resist system is probed as follows: the photoacid generator and resist additives are varied to understand the impact of each of these resist components on the acid generation process.


23rd Annual International Symposium on Microlithography | 1998

Photoacid generation in chemically amplified resists: elucidation of structural effects of photoacid generators using new acid-sensitive dyes for monitoring acid generation

James F. Cameron; J. Michael Mori; Thomas M. Zydowsky; Doris Kang; Roger F. Sinta; Matthew A. King; J. C. Scaiano; Gerd Pohlers; Susan Virdee; Terry Connolly

This paper focuses on all aspects of acid quantification in DUV resists using novel acid sensitive dyes. The design criteria for creating acid sensitive dyes are discussed and several new classes of dyes are described. Upon protonation, these molecules undergo a large bathochromic shift in the absorption maximum. This change in the UV absorption spectrum can readily be used to quantify acid generation spectrophotometrically. The utility of these new acid sensitive dyes will be demonstrated by quantifying the acid generating efficiency of different PAG classes. In this paper, the relationship between resist performance and PAG structure is studied for a series of DUV PAGs in which the structure of both the chromophore and the acid are varied. In addition, the sensitivity of these dyes is sufficiently high that trace amounts of acid lost from chemically amplified resists during exposure may be measured. Preliminary results of acid loss experiments on assorted DUV PAGs will also be presented.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Transparency vs. efficiency in 193-nm photoacid generator design

Gerd Pohlers; Yasuhiro Suzuki; Nicholas Chan; James F. Cameron

The advent of 193nm lithography has stimulated the search for novel photocid generators (PAGs) with increased transparency at 193nm. This need for more transparency stems from the use of phenyl groups in the classic 248nm PAGs, such as triphenylsulfonium salts. Unfortunately for 193nm resist development, the phenyl group is highly absorbing at that wavelength, thereby severely restricting the PAG formulation space. It has not yet been pointed out, however, that photoacid generation efficiency has also become more important for 193nm systems. The reason is that 193 polymers cannot sensitize the PAG, as is the case for 248nm phenolic systems, i.e. the light absorbed by the polymer does not contribute to acid generation. Furthermore, the photoacid generation efficiency of sulfonium PAGs drops considerably when going from 248nm to 193nm. Thus, the ability to quantify the photoefficiency of a new PAG quickly becomes key to successful new 193nm PAG development. While there are many ways to determine the quantum yield of photoacid generation ((Phi) ) of PAGs, they are usually time and labor-intensive. Therefore, in the first part of this paper, we describe the P-parameter as a fast, simple and more practical way than the quantum yield, (Phi) to characterize the photoefficiency of a PAG. Results for a number of sulfonium PAGs at both 248 and 193nm are determined this way, and the observed trends are discussed. In the second part of this work we investigate how lithographic performance is impacted by those two parameters. A transparency/efficiency matrix of nine PAGs is evaluated in a 193nm standard resist formulation. The results indicate that the PAGs with a combination of high efficiency and transparency yield the best lithographic results, underlining the importance of efficiency as a key parameter in 193nm PAG design.


Journal of Applied Polymer Science | 2000

An in situ method for measuring acid loss from polymer films

Chris Coenjarts; J. I. M. Cameron; Gerd Pohlers; J. C. Scaiano; Anthony Zampini

This article reports an in situ approach to studying acid loss from polymer (films of the type used in microlithiography. We developed a method which makes use of the dye coumarin 6 (C6) which has absorption characteristics which change dramatically as a result of acid-induced changes in its prototropic forms. Acid loss from polymer films containing C6 is accompanied by its deprotonation, which is signaled by a change in the absorption of the film. This technique provides kinetic data describing acid loss from polymer films. Acid loss is a function of both acid volatilization from sites at the surface of the film as well as diffusional processes within the film. Under controlled conditions, acid loss obeys first-order kinetics, and the half-lives, τ1/2, of acids in phenolic and acrylate polymer films were determined. We studied the effects of temperature, acid structure, polymer structure, and Tg on the τ1/2 values obtained.


Photochemical and Photobiological Sciences | 2004

Mechanism of photoacid generation for an arylcycloalkylsulfonium salt by ring opening and aryl cleavage

Carlos N. Sanrame; Mariette S. B. Brandao; Christopher Coenjarts; J. C. Scaiano; Gerd Pohlers; Yasuhiro Suzuki; James F. Cameron

The photochemistry of 1-(4-tert-butylphenyl)-tetrahydro-thiopyranium triflate (1), an arylcycloalkylsulfonium salt, was investigated in acetonitrile and methanol at low conversion in order to understand the reaction mechanism and its efficiency as photoacid generator. Both types of C-S bond in 1 are cleaved from the excited state. The heterolytic cleavage of the methylene C-S bond produces 4-t-BuC(6)H(4)S(CH(2))(4)CH(2)(+) by ring opening. The carbocation generates acid and arylalkenylsufides by elimination or 1,2 hydride shift and elimination. The predominantly homolytic cleavage of the aryl C-S bond yields 4-t-BuC(6)H(4)* and c-C(5)H(10)S(+)* as the fragmentation products. The radicals react with the solvent forming acid, pentamethylene sulfide and tert-butylbenzene. In methanol, the formation of 4-tert-butylanisole indicates a contribution of solvolysis in the excited state of 1 or a competing formation of free aryl cation by heterolytic fragmentation. The acid generation efficiency of 1 in solution (acetonitrile or methanol) is lower than that corresponding to triphenylsulfonium triflate (TPS OTf) under the same conditions. This suggests a pathway for the regeneration of 1 after photocleavage. The photochemistry of 1 is discussed in terms of the contribution of fragmentation and ring opening reaction paths to its overall acid generation efficiency, a key property in terms of its applications in resist formulations.


Advances in Resist Technology and Processing XX | 2003

Bilayer technology for ArF and F 2 lithography: the development of resists to minimize silicon outgassing

George G. Barclay; Subbareddy Kanagasabapathy; Gerd Pohlers; Joseph Mattia; Kao Xiong; Sheri L. Ablaza; James F. Cameron; Tony Zampini; Tao Zhang; Shintaro Yamada; Francois Huby; Kenneth Wiley

This paper reports on the development of advanced bilayer resists for ArF and F2 lithography. Contamination of the optics with silicon has been identified as a major issue for the adoption of bilayer technology across all wavelengths. An investigation was carried out to fundamentally understand the effect of the polymer architecture on silicon outgassing. A laser outgassing system was developed and calibrated using model silicon compounds. Model polymers where prepared in which the silicon was incorporated in a number of different ways pendant to the polymer backbone and in the polymer backbone. It was observed that the placement of silicon into the polymer backbone as a poly(silsesquioxane), allows the incorporation of high silicon content for superior etch resistance, with no detectable outgassing of silicon during the exposure step. The design concepts used for these ultra thin silicon imaging systems has resulted in superior imaging capability, resolving sub 100nm dense patterns.


26th Annual International Symposium on Microlithography | 2001

Design and performance of photoresist materials for ArF lithography

Hyun-woo Kim; Dong-Won Jung; Sook Lee; Sang-Jun Choi; Sang-Gyun Woo; Robert J. Kavanagh; George G. Barclay; Robert F. Blacksmith; Doris Kang; Gerd Pohlers; James F. Cameron; Joe Mattia; Stefan Caporale; Thomas Penniman; Lori Anne Joesten; James W. Thackeray

In this paper we review the design and performance of ArF resists developed from various polymer platforms. Inadequate etch performance of early ArF acrylate platforms necessitated the development of new etch resistant platforms, in terms of both etch rate and etch uniformity. Two resist platforms were developed to address etch resistance: 1) alternating copolymers of cyclic olefins and maleic anhydride (COMA); and 2) polycycloolefin polymers (CO). Improvements have been made in the imaging performance of these resists, such that they now approach the lithographic performance of acrylate based resists. Recently, a third platform based on polymerization of vinyl ethers with maleic anhydride (VEMA), which has excellent etch performance, was developed by Samsung. Here we will focus our discussion on acrylate, COMA and VEMA based resists.

Collaboration


Dive into the Gerd Pohlers's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge