Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gordon Raymond Chiu is active.

Publication


Featured researches published by Gordon Raymond Chiu.


system-level interconnect prediction | 2006

Difficulty of predicting interconnect delay in a timing driven FPGA CAD flow

Valavan Manohararajah; Gordon Raymond Chiu; Deshanand P. Singh; Stephen Dean Brown

This paper studies the difficulty of predicting interconnect delay in an industrial setting. Fifty industrial circuits, Alteras Quartus II CAD software, and Alteras Stratix and Stratix II FPGA architectures were used in the study. We show that there is a large amount of inherent randomness in a state-of-the-art FPGA placement algorithm. Thus, it is impossible to predict interconnect delay with a high degree of accuracy. Futhermore, we show that a simple timing model can be used to predict some aspects of interconnect timing with just as much accuracy as predictions obtained by running the placement tool itself. Finally, we examine the benefits of using the simple timing model in a timing driven physical synthesis flow, and attempt to establish an upper bound on these possible gains, given the difficulty of interconnect delay prediction.


field programmable gate arrays | 2016

The Stratix™ 10 Highly Pipelined FPGA Architecture

David Lewis; Gordon Raymond Chiu; Jeffrey Christopher Chromczak; David Galloway; Ben Gamsa; Valavan Manohararajah; Ian Milton; Tim Vanderhoek; John Curtis Van Dyken

This paper describes architectural enhancements in the Altera Stratix? 10 HyperFlex? FPGA architecture, fabricated in the Intel 14nm FinFET process. Stratix 10 includes ubiquitous flip-flops in the routing to enable a high degree of pipelining. In contrast to the earlier architectural exploration of pipelining in pass-transistor based architectures, the direct drive routing fabric in Stratix-style FPGAs enables an extremely low-cost pipeline register. The presence of ubiquitous flip-flops simplifies circuit retiming and improves performance. The availability of predictable retiming affects all stages of the cluster, place and route flow. Ubiquitous flip-flops require a low-cost clock network with sufficient flexibility to enable pipelining of dozens of clock domains. Different cost/performance tradeoffs in a pipelined fabric and use of a 14nm process, lead to other modifications to the routing fabric and the logic element. User modification of the design enables even higher performance, averaging 2.3X faster in a small set of designs.


IEEE Transactions on Very Large Scale Integration Systems | 2007

Predicting Interconnect Delay for Physical Synthesis in a FPGA CAD Flow

Valavan Manohararajah; Gordon Raymond Chiu; Deshanand P. Singh; Stephen Dean Brown

This paper studies the prediction of interconnect delay in an industrial setting. Industrial circuits and two industrial field-programmable gate-array (FPGA) architectures were used in this paper. We show that there is a large amount of inherent randomness in a state-of-the-art FPGA placement algorithm. Thus, it is impossible to predict interconnect delay with a high degree of accuracy. Furthermore, we show that a simple timing model can be used to predict some aspects of interconnect timing with just as much accuracy as predictions obtained by running the placement tool itself. Using this simple timing model in a two-phase timing driven physical synthesis flow can both improve quality of results and decrease runtime. Next, we present a metric for predicting the accuracy of our interconnect delay model and show how this metric can be used to reduce the runtime of a timing driven physical synthesis flow. Finally, we examine the benefits of using the simple timing model in a timing driven physical synthesis flow, and attempt to establish an upper bound on these possible gains, given the difficulty of interconnect delay prediction.


international conference on computer aided design | 2006

Mapping arbitrary logic functions into synchronous embedded memories for area reduction on FPGAs

Gordon Raymond Chiu; Deshanand P. Singh; Valavan Manohararajah; Stephen Dean Brown

This work describes a new mapping technique, RAM-MAP, that identifies parts of circuits that can be efficiently mapped into the synchronous embedded memories found on field programmable gate arrays (FPGAs). Previous techniques developed for mapping into asynchronous embedded memories cannot be used because modern FPGAs do not have asynchronous embedded memories. After technology mapping, an area-prediction cost function is used to guide the selection of logic cones to be placed in embedded memories. Extra logic is added to compensate for missing asynchronous functionality on the synchronous memories. Experiments conducted on Alteras Stratix device family indicate that this embedded memory mapping technique can provide an average area reduction of 6.2% and up to 32.5% on a large set of industrial designs. A small architecture change that increases the size of the FPGA fabric by 0.05% can increase the average area reduction to 14.1% and up to 59.1% on the same design set


Archive | 2007

Method and apparatus for performing physical synthesis hill-climbing on multi-processor machines

Ivan Blunno; Gordon Raymond Chiu; Deshanand P. Singh; Valavan Manohararajah; Stephen Dean Brown


Archive | 2008

Method and apparatus for performing simultaneous register retiming and combinational resynthesis during physical synthesis

Gordon Raymond Chiu; Deshanand P. Singh; Valavan Manohararajah; Ivan Blunno; Stephen Dean Brown


Archive | 2010

Methods and systems for measuring and presenting performance data of a memory controller system

Gordon Raymond Chiu; Joshua David Fender; Clement C. Tse; Deshanand P. Singh


Archive | 2016

CIRCUITS AND METHODS FOR DQS AUTOGATING

Krzysztof Maryan; Gordon Raymond Chiu; Warren Nordyke; Navid Azizi


Archive | 2011

Method and apparatus for performing multiple stage physical synthesis

Deshanand P. Singh; Valavan Manohararajah; Gordon Raymond Chiu; Ivan Blunno; Stephen Dean Brown


Archive | 2012

M/A for performing automatic latency optimization on system designs for implementation on programmable hardware

Gordon Raymond Chiu; Deshanand P. Singh

Collaboration


Dive into the Gordon Raymond Chiu's collaboration.

Researchain Logo
Decentralizing Knowledge