Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroaki Oizumi is active.

Publication


Featured researches published by Hiroaki Oizumi.


Journal of Vacuum Science & Technology B | 2006

Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resist

Takahiro Kozawa; Seiichi Tagawa; Hiroaki Oizumi; Iwao Nishiyama

A highly sensitive extreme ultraviolet (EUV) resist is strongly needed to reduce the development costs of high power EUV sources. Although highly sensitive chemically amplified resists based on acid-catalyzed reactions have been used in mass production lines, the chain length of acid catalytic reactions must be suppressed within several nanometers to meet the resolution requirement below the 32nm technology node. Under such circumstances, the initial acid yield produced by EUV exposure is critical to the formation of ultrafine patterns. Using an acid sensitive dye, the authors evaluated the number of acid molecules generated by an EUV photon. The observed acid yield was well explained by the ionization model for acid generation originally proposed for chemically amplified electron beam resists.


Applied Physics Express | 2010

Relationship between Chemical Gradient and Line Edge Roughness of Chemically Amplified Extreme Ultraviolet Resist

Takahiro Kozawa; Hiroaki Oizumi; Toshiro Itani; Seiichi Tagawa

We investigated the relationship between line edge roughness (LER) and the concentration gradient of chemical compounds that determines the solubility of the resist (chemical gradient). Two-dimensional (half-pitch and exposure dose) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists for extreme ultraviolet (EUV) lithography. The latent images of resist patterns were successfully reproduced by assuming that LER is inversely proportional to the chemical gradient. The product of LER and normalized chemical gradient was 0.31.


Japanese Journal of Applied Physics | 2010

Reconstruction of Latent Images from Dose-Pitch Matrices of Line Width and Edge Roughness of Chemically Amplified Resist for Extreme Ultraviolet Lithography

Takahiro Kozawa; Hiroaki Oizumi; Toshiro Itani; Seiichi Tagawa

The line width and line edge roughness (LER) of resist patterns are related to the concentration and its gradient of chemical compounds that determine the solubility of the resist, respectively. Therefore, latent images can be obtained from the line width and LER of resist patterns. In this study, two-dimensional (exposure dose and half-pitch) matrices of resist line width and LER were analyzed on the basis of the sensitization mechanisms of chemically amplified resists used for extreme ultraviolet (EUV) lithography. In the reconstruction of latent images, the effective reaction radius for catalytic chain reaction is an important parameter. The probable range of effective reaction radius was from 0.05 to 0.2 nm. In this range, latent images were successfully reconstructed. The finding that the effective reaction radius is smaller than the typical size of a counteranion suggests that the resist performance can be improved by increasing the effective reaction radius.


Japanese Journal of Applied Physics | 2010

Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

Takahiro Kozawa; Hiroaki Oizumi; Toshiro Itani; Seiichi Tagawa

The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.


Japanese Journal of Applied Physics | 1999

Theoretical Calculation of Photoabsorption of Various Polymers in an Extreme Ultraviolet Region

Nobuyuki Matsuzawa; Hiroaki Oizumi; Shigeyasu Mori; Shigeo Irie; Shigeru Shirayone; Ei Yano; Shinji Okazaki; Akihiko Ishitani; David A. Dixon

We have calculated the linear absorption coefficients of various resist polymers using the mass absorption coefficients at 13 nm and the density obtained from the graph-theoretical treatment derived by Bicerano. The values indicate that the transmittance at 13 nm of conventional resists used in 193-nm, 248-nm and 365-nm lithography is about 30% when the thickness is 3000 A and 60–70% when it is 1000 A. This shows that conventional resists are suitable for an EUVL (extreme ultraviolet lithography) thin-layer resist (TLR) process using a hard-mask layer, but their large photoabsorption makes them unsuitable for a single-layer resist (SLR) process. To design polymers that are suitable for an SLR process, we further calculated the absorption of about 150 polymers. The results suggest that the introduction of aromatic groups into a polymer not only reduces the absorption at 13 nm but also increases the etching resistance.


Japanese Journal of Applied Physics | 2011

Assessment and Extendibility of Chemically Amplified Resists for Extreme Ultraviolet Lithography: Consideration of Nanolithography beyond 22 nm Half-Pitch

Takahiro Kozawa; Hiroaki Oizumi; Toshiro Itani; Seiichi Tagawa

The major resist properties, namely, resolution, line edge roughness (LER), and sensitivity have trade-off relationships. The relationships among them are determined by the pattern formation efficiency. Because of these trade-off relationships, the assessment of resist performance has been a difficult task. The extraction of parameters associated with pattern formation efficiency is important for the proper assessment of resist materials. In this study, we improved the resist model and analysis procedure for the parameter extraction and assessed state-of-the-art extreme ultraviolet (EUV) resists. Using extracted parameters, we evaluated the expected resist performance upon exposure to a next-generation exposure tool with high numerical aperture (NA). Furthermore, assuming the technical limits of resist materials, the extendibility of chemically amplified resists was examined. It was found that the resist requirements (10 mJ cm-2 sensitivity and 1 nm LER) for the 16 nm node are achievable at NA=0.35, although they were comparable to the assumed technical limit.


Japanese Journal of Applied Physics | 2011

Analysis of Dose-Pitch Matrices of Line Width and Edge Roughness of Chemically Amplified Fullerene Resist

Takahiro Kozawa; Hiroaki Oizumi; Toshiro Itani; Seiichi Tagawa

The resist materials are evaluated using their resolution, line edge roughness (LER), and sensitivity. However, the evaluation of chemically amplified resists is tricky because of the trade-off relationships between resolution, LER, and sensitivity. In this study, we investigated a chemically amplified resist with a fullerene matrix by analyzing the dose-pitch matrices of line width and LER. The effective quencher concentration of the fullerene resist obtained by the analysis was higher than those of typical polymer-type resists. This suggests that the quantum efficiency of acid generation in the fullerene matrix is slightly lower than those of polymer-type resists. The effective reaction radius was 0.06nm, which was smaller than those of polymer-type resists. The proportionality constant between LER and the chemical gradient of the fullerene resist was smaller than those of polymer-type resists, probably owing to its molecular size. # 2011 The Japan Society of Applied Physics


Journal of Vacuum Science & Technology B | 2005

Reduction of oxide layer on Ru surface by atomic-hydrogen treatment

Iwao Nishiyama; Hiroaki Oizumi; K. Motai; A. Izumi; T. Ueno; H. Akiyama; A. Namiki

The reduction of Ru oxide was examined as a way of cleaning the cap layer of multilayer mirrors in extreme ultraviolet lithography (EUVL). Ru deposited on a Si surface was oxidized using electron cyclotron resonance (ECR) O2 plasma, and then treated with atomic hydrogen generated with a hot tungsten wire. An analysis of the surface composition by x-ray photoelectron spectroscopy and Auger electron spectroscopy revealed that atomic hydrogen removed the Ru oxide resulting from the ECR O2 plasma treatment. Additionally, atomic force microscopy observations showed that this treatment caused no increase in the surface roughness of the Ru. This indicates that the surface oxidation of EUVL mirrors is reversible, and can largely be eliminated by using atomic hydrogen and the proper cap layer.


Japanese Journal of Applied Physics | 1993

Freeze-Drying Process to Avoid Resist Pattern Collapse

Toshihiko Tanaka; Mitsuaki Morigami; Hiroaki Oizumi; Taro Ogawa

Freeze-drying, which is a surface-tension-free process, was applied in resist processing to avoid resist pattern collapse and the mechanism was investigated. The freeze-drying, in which tert-butylalcohol was used, prevents resist patterns from collapsing. The driving force of collapse is surface tension of the rinsing liquid.


Microelectronic Engineering | 2000

Theoretical estimation of absorption coefficients of various polymers at 13 nm

Nobuyuki Matsuzawa; Hiroaki Oizumi; Shigeyasu Mori; Shigeo Irie; Ei Yano; Shinji Okazaki; Akihiko Ishitani

The linear absorption coefficients at 13 nm were calculated for more than 150 polymers. The results indicate that an aromatic substitution lowers the absorption coefficient. This is because oxygen has a larger atomic absorption than carbon or hydrogen and the substitution reduces the relative oxygen content. Furthermore, the Ohnishi parameters for the polymers were calculated in order to investigate the relationship between the absorption at 13 nm and the etching resistance. This showed that polymers with aromatic groups tend to exhibit a lower absorption and a higher etching resistance than those without aromatic groups. This suggests that, regarding resist processes for EUV (extreme ultraviolet) lithography, a single-layer resist process employing no hard-mask layer is another promising candidate in addition to one that uses both an unltrathin resist layer (~100 nm thick) and a hard-mask layer

Collaboration


Dive into the Hiroaki Oizumi's collaboration.

Researchain Logo
Decentralizing Knowledge