Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroaki Yaguchi is active.

Publication


Featured researches published by Hiroaki Yaguchi.


Proceedings of SPIE | 2012

The novel spin-on hard mask and ultrathin UL material for EUVL

Rikimaru Sakamoto; Hiroaki Yaguchi; Syuhei Shigaki; Suguru Sassa; Noriaki Fujitani; Takafumi Endo; Ryuji Onishi; Bang Ching Ho

For below Hp22nm generation, Hard-mask strategy is one of the key issues to achieve the good balance for Lithography and Etching performance. The thickness of resist should be thicker enough to obtain the etching margin for the substrate etching. However, the thickness of photo resist (PR) needs to be thinner to obtain the good pattern collapse margin and resolution. In order to solve this tread-off, the spin-on hard mask (HM) technology can be applied. On the other hand, the ultra thin organic Underlayer (UL) being combined with the CVD-HM film stack is also one of the processes for EUV lithography. In order to avoid the film loss of resist during UL open, the thickness of UL must be thinner and the etch rate need to be faster. We studied the effect of UL design and thickness for the EUV lithography performance. For EUV lithography, the electron generation effect from UL is one of the key factors to improve the patterning performance of resist. In this paper, we studied the suitable functional group for the Silicon containing HM(Si-HM) for multilayer process and Org.-UL, which has high potential to generate 2nd electron and enhance the resist performance, and discuss the material design and performance.


Proceedings of SPIE | 2010

Development of reverse materials for double patterning process

Yasushi Sakaida; Hiroaki Yaguchi; Rikimaru Sakamoto; Bang-Ching Ho

Materials and processes for double patterning using 193nm immersion lithography has been developing for the 32/22 nm node device generations. As for double patterning , some patterning methods such as LELE (Litho Etch Litho Etch) process and LFLE (Litho Freeze Litho Etch) process have already been reported. LELE process is complicated and is a low throughput process compared to LFLE process. On the other hand, freezing process and freezing material are needed in LFLE process. Contact hole (C/H) and trench pattern resolution are limited by low aerial image contrast. Then, we examined the process and the material that was able to form a minute pattern without increasing the number of processes as much as possible. So image reverse process has one of technique to form the fine hole pattern and trench pattern. The pillar pattern is obtained by the X-Y double line exposures. Then, the reverse material is applied on the pillar pattern and the subsequent process (dry etching or wet etching process) converts the pillar pattern into a hole pattern. In this paper, we studied the reverse process and materials. Methyl isobutyl carbinol (MIBC) was selected as a slovent for the Si contained reverse material. MIBC solvent system has no damege for PR film and pattern. Plannarizaion of reverse material is important for image reverse and pattern transfer.


Proceedings of SPIE | 2013

EUV sensitive Si containing hard mask (Si-HM) for PTD and NTD process in EUVL

Wataru Shibayama; Shuhei Shigaki; Rikimaru Sakamoto; Ryuji Onishi; Hiroaki Yaguchi; Bang Ching Ho

Tri-layer process is the one of the key technique both for the lithography and etching around Hp20nm patterning. In applying for tri-layer process, we are focusing on inorganic type under layer which mainly containing Si atoms. This Si type hard mask (Si-HM) can perform not only as the Lithography performance enhancement layer for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we propose our new Si-HM concepts to achieve high sensitivity, wide process window and good line edge roughness. The key point of our concepts is EUV sensitive unit in Si-HM. Our EUV sensitive unit strongly promotes acid generation from PAG of EUV photo resist. Especially, for EUV NTD lithography process, EUV sensitive unit can perform as the adhesion enhancer between Si-HM and photo resist at EUV exposed area. As this result, we could resolve 22nm L/S=1/1 pattern on the EUV sensitive Si-HM by EUV NTD process even in the condition which hp40nm was the resolution limit with HMDS treated Bare-Si / PR stack. Moreover, from the view point of etching hard mask, 30nm dense L/S pattern and 20nm semi iso line pattern could be transferred to SOC layer successfully. We will present our latest Si-HM performance specialized for EUV lithography.


Proceedings of SPIE | 2014

OBPL for the best solution to resist outgassing and out-of-band issues in EUVL toward 1Xnm hp

Noriaki Fujitani; Rikimaru Sakamoto; Takafumi Endo; Hiroaki Yaguchi; Ryuji Onishi

Extreme-UV lithography (EUVL) is the most promising candidate of next generation technology for hp20nm node device manufacturing and beyond, however there are many critical issues to solve in the light source, tools, mask and photo resist. Regarding the development of a photo resist, it is necessary for high volume manufacturing (HVM) to improve LWR, resolution limit and sensitivity. Additionally, concerning about deterioration of a patterning performance by Out-of-Band (OoB) light existing in the EUV light, and contamination problem of exposure tool due to the photo resist outgassing are the key issues which have to be resolved toward HVM by EUVL. Especially, the outgassing problem can become a significant issue for fine patterning under high dose exposure condition. This paper proposes the novel solution for these critical issues with the application of a top coat material which is named OBPL (Outgassing & out-of-Band Protection Layer) on photo resist. The key characteristics of OBPL material are to have a role in protection against the OoB adverse effect to keep up the photo resist performance, to suppress the outgassing from photo resist as a barrier layer and to enhance the lithographic performance such as photo resist profile and process window. In designing the OBPL material, the optical property needs having not only the high absorbance of DUV (Deep-UV) light in OoB range but also high transmittance for 13.5nm wavelength to prevent the sensitivity loss. Furthermore, it is found that the polymer backbone affects the outgassing barrier capability in previous evaluation. Based on these investigations, a state-of-the-art OBPL achieves quite a positive lithographic result with sufficient OoB absorption and outgassing suppression. Moreover, this material has applicability to all types of photo resist including NTD (Negative-Tone Development) process. This paper describes the result of the feasibility study for OBPL and the lithography performance with EUV full field scanner.


Proceedings of SPIE | 2013

The novel solution for negative impact of out-of-band and outgassing by top coat materials in EUVL

Noriaki Fujitani; Rikimaru Sakamoto; Takafumi Endo; Ryuji Onishi; Tokio Nishita; Hiroaki Yaguchi; Bang-Ching Ho

EUV lithography (EUVL) is the most promising candidate of next generation technology for hp20nm node device manufacturing and beyond. However, the power of light source, masks and photo resists are the most critical issues for driving the EUVL. Especially, concerning about deterioration of the patterning performance by Out-of-Band (OoB) light existing in the EUV light, and contamination problem of exposure tool due to the resist outgassing are the key issues which have to be resolved in the material view point toward the high volume manufacturing by EUVL. This paper proposes the solution for these critical issues by applying the top coat material. The key characteristics for top coat material are the protection of the OoB effect, the prevention of the outgassing from resist as a barrier layer and enhancement of photo resist performance, like resist profile and process window. This paper describes the material design and performance. The optical property needs having the high absorbance of DUV light in OoB range and high transmittance for 13.5nm wavelength. Outgassing barrier property needs high broking property against non contamination chemical species from photo resist outgassing. The study of TOF-SIMS analysis indicates how much the polymer chemistry can impact for outgassing barrier property. The dependency of material design and lithography performance is also discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Development of Silicon Glass for Etch Reverse Layer (SiGERL) materials and BARCs for Double patterning process

Yasushi Sakaida; Hiroaki Yaguchi; Rikimaru Sakamoto; Bang-Ching Ho

Materials and processes for double patterning using 193nm immersion lithography has been developed for the 32/22 nm node device generations. As for double patterning , some patterning methods have already been reported. For instance, there are LELE (Litho Etch Litho Etch) process and LFLE (Litho Freeze Litho Etch) process. LELE process is complicate and low throughput compared to LFLE process. On the other hand, freezing process and freezing material are needed in LFLE process. Then, we examined the process and the material that was able to form a minute pattern without increasing the number of processes as much as possible. The following is examined as a fine hole patterning process. At first, the pillar pattern is obtained by the X-Y double line dipole exposure. Secondly, the reverse material is applied on the pillar pattern and the subsequent process (dry etching or wet etching process) converts the pillar pattern into a hole pattern. We examined the reverse process and materials, including Silicon Glass for Etch Reverse Layer (SiGERL),and organic Bottom-Anti-Reflective coating (BARC) which is adequate for reflectivity control, lithography and the etching process.


Proceedings of SPIE | 2010

Carbon-rich Spin on Sidewall Material for Self-Aligned Double Patterning Technology

Dennis Shu-Hao Hsu; Hiroaki Yaguchi; Rikimaru Sakamoto; Daisuke Maruyama; Yasushi Sakaida; Walter Wang; Chun-Yen Huang; Wen-Bin Wu; Bang-Ching Ho; Chiang-Lin Shih

Double exposure (DE) and double patterning (DP) have emerged as leading candidates to fill the technology gap between water immersion and EUV lithography. Various approaches of them are proposed to achieve 3x-nm half-pitch dense lines and beyond. Both DE with two resist processes and double patterning (DP) require two separate exposures, and they are faced very tight overlay margin by the scanner tool. By contrast, self-aligned double patterning (SADP) requires one exposure only, and provides high feasibility for 3x-nm node at this moment. However, a sequential order of multiple non-lithographic steps (film deposition, etch, and CMP) cause a complicated and expensive process of SADP. Instead of using complicated sacrificial layers, the spacers are directly formed at the sidewall of the resist patterns by low-temperature CVD deposition or spin on sidewall (SoS) material coating. In this paper, lower cost-of-ownership of SoS material are studied for SADP process.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

A cost effective spin on sidewall material alternative to the CVD sidewall process

Daisuke Maruyama; Bang-Ching Ho; Sangwoong Yoon; Rikimaru Sakamoto; Yasushi Sakaida; Keisuke Hashimoto; Noriaki Fujitani; Hiroaki Yaguchi; Koutastu Matsubara

193nm immersion and Hyper NA lithography are used at 45nm and beyond. The next generation of lithography will use a new technology such as Double Pattering, EUV or EB. Double patterning is one of the currently acceptable technologies. Three common double pattern techniques are Litho-Etch-Litho-Etch (LELE), freezing, and sidewall (spacer) process. From a technical standpoint LELE is a very promising process, except for the second litho alignment. However, the cost of ownership will be very high because LELE will cost about twice as much as the current single litho patterning process. In order to build up a suitable double patterning technique, many device makers are developing unique processes. Two of these processes are freezing and sidewall. Flash memory makers are diligently investigating the sidewall process by CVD. This is because of the lack of a second litho alignment step, even with its high cost. The high cost of the CVD process can be reduced if a spin on material is used. One of the goals of this paper is to reduce the cost of ownership by using spin on coatings for the sidewall process. Currently we are investigating this approach to control the sidewall width, profile and other properties.


Archive | 2010

Composition for forming film for pattern reversal and method of forming reversed pattern

Daisuke Maruyama; 大輔 丸山; Hiroaki Yaguchi; 博昭 谷口; Yasushi Sakaida; 康志 境田


Archive | 2010

PATTERN REVERSAL FILM FORMING COMPOSITION AND METHOD OF FORMING REVERSED PATTERN

Daisuke Maruyama; Hiroaki Yaguchi; Yasushi Sakaida

Collaboration


Dive into the Hiroaki Yaguchi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge