Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroyuki Shigemura is active.

Publication


Featured researches published by Hiroyuki Shigemura.


Proceedings of SPIE | 2011

Development of new FIB technology for EUVL mask repair

Fumio Aramaki; Takashi Ogawa; Osamu Matsuda; Tomokazu Kozakai; Yasuhiko Sugiyama; Hiroshi Oba; Anto Yasaka; Tsuyoshi Amano; Hiroyuki Shigemura; Osamu Suga

The next generation EUVL masks beyond hp15nm are difficult to repair for the current repair technologies including focused ion beam (FIB) and electron beam (EB) in view of the minimum repairable size. We developed a new FIB technology to repair EUVL masks. Conventional FIB use gallium ions (Ga+) generated by a liquid metal ion source (LMIS), but the new FIB uses hydrogen ions (H2+) generated by a gas field ion source (GFIS). The minimum reaction area of H2+ FIB is theoretically much smaller than that of EB. We investigated the repair performance of H2+ FIB. In the concrete, we evaluated image resolution, scan damage, etching rate, material selectivity of etching and actinic image of repaired area. The most important result is that there was no difference between the repaired area and the non-repaired one on actinic images. That result suggests that the H2+ GFIS technology is a promising candidate for the solution to repair the next generation EUVL masks beyond hp15nm.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Repair specification study for half pitch 32-nm patterns for EUVL

Hajime Aoyama; Tsuyoshi Amano; Yasushi Nishiyama; Hiroyuki Shigemura; Osamu Suga

One of the key issues in extreme ultraviolet lithography (EUVL) is the influence of defects on a mask because of the high printing resolution of EUVL. In order to address this issue, it is necessary to estimate the critical size of an absorber pattern defect and that of a repaired defect. The repair of an opaque defect by milling or of a clear defect by deposition might not be perfect; so the area, height, and optical constant of the repair material must be taken into consideration. By estimating the threshold of calculated aerial images, the critical dimension (CD) that can be printed was found to equal the square root of the defect area. For the repair of opaque defects, residual Ta was found to be more likely to cause poor printing than the etching of the multilayer by excessive milling. Since a clear defect is repaired with Ta with the same optical properties as the absorber material, the CD error in printing is mainly caused by the repair of a CD error and is not caused by an error in height that is less than ±25% of the height of the Ta absorber. The optimal optical constant of the repair material was estimated by varying the refraction coefficient from 0.9199 to 0.9999 and the extinction coefficient form 0.0001 to -0.0451. We found that carbon is a useful repair material that provides a CD error of at most ±0.5 nm around a defect with an area of 64 nm because the maximum refraction should be below 0.97.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Study of EUV mask defect repair using FIB method

Tsuyoshi Amano; Noriaki Takagi; Hiroyuki Shigemura; Tsuneo Terasawa; Osamu Suga; Kensuke Shiina; Fumio Aramaki; Anto Yasaka; Yuuichi Inazuki; Naoya Hayashi

We evaluated a new FIB-GAE (Focused Ion Beam-Gas Assisted Etching) repairing process for the absorber defects on EUVL mask. XeF2 gas and H2O gas were used as etching assist agent and etching stop agent respectively. The H2O gas was used to oxidize Ta-nitride side-wall and to inactivate the remaining XeF2 gas after the completion of defect repair. At the Photomask Japan 2008 we had reported that side-etching of Ta-nitride caused CD degradation in EUVL. In the present paper we report on the performance of defect repair by FIB, and of printability using SFET (Small Field Exposure Tool). The samples evaluated, were in form of bridge defects in hp225nm L/S pattern. The cross sectional SEM images certified that the newly developed H2O gas process prevented side-etching damage to TaBN layer and made the side-wall close to vertical. The printability also showed excellent results. There were no significant CD changes in the defocus characterization of the defect repaired region. In its defect repair process, the FIB method showed no signs of scan damage on Cr buffered EUV mask. The repair accuracy and the application to narrow pitched pattern are also discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Evaluation of EUVL-mask pattern defect inspection using 199-nm inspection optics

Tsuyoshi Amano; Yasushi Nishiyama; Hiroyuki Shigemura; Tsuneo Terasawa; Osamu Suga; Hideaki Hashimoto; Norio Kameya; Shingo Murakami; Nobutaka Kikuiri

In this paper, we will report two evaluation results. One is the relationship between EUVL mask structure and image contrast values captured by 199nm inspection optics. The other is the influence of mask structure on defect inspection sensitivity. We utilized a commercially available DUV inspection system that has the shortest inspection wavelength at 199nm. Using the 199nm inspection optics, enough image contrast values on hp32nm 1:1 lines and spaces using ArF-half tone (HT) mask were obtained. On the other hand, image contrast values were not sufficient for conventional EUVL mask that have a 70nm absorber layer thickness. To improve the contrast values of mask pattern image, we evaluated the effect of absorber layer thickness on inspection image contrasts. As a result, reducing the thickness of the absorber layer to 44nm, enough image contrast values of hp32nm 1:1 lines and spaces patterns were obtained. In this paper, the influence of the thickness of absorber layer on inspection sensitivities for opaque and clear extension defects are also discussed.


Proceedings of SPIE | 2011

Evaluation of EUV mask defect using blank inspection, patterned mask inspection, and wafer inspection

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Kazuo Tawarayama; Mari Nozoe; Toshihiko Tanaka; Osamu Suga; Ichiro Mori

The key challenge before EUVL is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI (patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199nm wavelength patterned mask inspection tool, and wafer EB inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection developed at Selete, are effective in detecting killer defects both at the main pattern and at light-shield border area.


Journal of Micro-nanolithography Mems and Moems | 2011

Evaluation of extreme ultraviolet mask defect using blank inspection, patterned mask inspection, and wafer inspection

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Kazuo Tawarayama; Mari Nozoe; Toshihiko Tanaka; Osamu Suga; Ichiro Mori

The key challenge before extreme ultraviolet lithography is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. We have been developing extreme ultraviolet (EUV) mask infrastructures such as a full-field actinic blank inspection tool and 199 nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of actinic blank inspection and patterned mask inspection are higher than that of wafer inspection in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199 nm wavelength patterned mask inspection tool, and electron beam (EB) wafer inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection are effective in detecting killer defects both at the main pattern and at the light-shield border area.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Study of EUV mask inspection technique using DUV light source for hp22nm and beyond

Ryoichi Hirano; Nobutaka Kikuiri; Hideaki Hashimoto; Kenichi Takahara; Masatoshi Hirono; Hiroyuki Shigemura

EUV lithography is expected to be not only for hp 2Xnm node device production method but also for hp 1X nm node. We have already developed the mask inspection system using 199nm wavelength with simultaneous transmitted and reflected illumination optics, which utilize p-polarized and s-polarized illumination for high defect detection sensitivity, and we developed a new image contrast enhancement method which changes the digitizing rate of imaging sensor depending on the signal level. Also, we evaluate the mask structure which improve the image contrast and defect detection sensitivity. EUVL-mask has different configuration from transmitted type optical-mask. A captured image simulator has been developed to study the polarized illumination performance theoretically of our inspection system. Preferable mask structure for defect detection and possibility of miss defect detection are considered.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Printability of EUVL mask defect detected by actinic blank inspection tool and 199-nm pattern inspection tool

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Toshihiko Tanaka; Kazuo Tawarayama; Osamu Suga; Ichiro Mori

The key challenge before EUVL is to make defect-free masks hence it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, we evaluate the printability of multilayer defects and of absorber defects exposed by a full-field scanner EUV1, using full-field actinic/non-actinic blank inspection tool and 199nm wavelength patterned mask inspection tool. And based on the results of native defect analysis of blank/mask, we ascertain that blank inspection with actinic is necessary for mask fabrication in order to reduce the risk of missing phase defects, which hardly can be detected by patterned mask inspection tool.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Damage analysis of EUV mask under Ga focused ion beam irradiation

Yasushi Nishiyama; Tsuyoshi Amano; Hiroyuki Shigemura; Tsuneo Terasawa; Osamu Suga; Tomokazu Kozakai; Syuichi Kikuchi; Kensuke Shiina; Anto Yasaka; Ryoji Hagiwara

EUV mask damage caused by Ga focused ion beam irradiation during the mask defect repair was studied. The concentration of Ga atom implanted in the multilayer through the buffer layer was calculated by SRIM. The reflectivity of the multilayer was calculated from the Ga distribution below the capping layer surface. To validate the calculation, a multilayer sample was irradiated with Ga FIB, and then EUV reflectivity was measured. The measured reflectivity change was in good agreement with the calculated value. An aerial image of patterns with Ga implanted region was simulated. The impact of the estimated Ga absorption on the linewidth of 32 nm hp line pattern was found to be less than 1 nm.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

FIB mask repair technology for EUV mask

Tsuyoshi Amano; Yasushi Nishiyama; Hiroyuki Shigemura; Tsuneo Terasawa; Osamu Suga; Kensuke Shiina; Fumio Aramaki; Anto Yasaka; Tsukasa Abe; Hiroshi Mohri

We evaluated a FIB-CVD (Focused Ion Beam-Chemical Vapor Deposition) process for repairing clear defects on EUV masks. For the CVD film, we selected Carbon material. Our simulation result showed that the properties of wafer-prints depended on the density of the carbon films deposited for repairing the clear defects. Especially, when the density of carbon film was higher than that of graphite the properties of the wafer-prints came out to be almost same as obtained from Ta-based absorbers. For CVD, in this work we employed typical carbon based precursor that has been routinely used for repairing photomask patterns. The defects created for our evaluation were line-cut defects in a hp225nm L/S pattern. The performance of defect repair was evaluated by SFET (Small Field Exposure Tool) printability test. The study showed that the focus characteristic of repaired region deteriorated as the thickness of the deposition film decreased, especially when the thickness went below the thickness of the absorber. However, when the deposition film thickness was same as that of the absorber film, focus characteristic was found to be excellent. The study also revealed that wafer-print CDs could be controlled by controlling the CDs of the deposition films. The durability of deposition films against the buffer layer etching process and hydrogen radical cleaning process is also discussed.

Collaboration


Dive into the Hiroyuki Shigemura's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge