Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ian Cayrefourcq is active.

Publication


Featured researches published by Ian Cayrefourcq.


Journal of Micro-nanolithography Mems and Moems | 2016

Process highlights to enhance directed self-assembly contact patterning performances

Ahmed Gharbi; Raluca Tiron; Maxime Argoud; G. Chamiot-Maitral; Antoine Fouquet; Céline Lapeyre; Patricia Pimenta Barros; Florian Delachat; S. Bos; Shayma Bouanani; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Laurent Pain

Abstract. We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35  nm), good DSA performances are achieved: CDU-3σ=1.2  nm, PE-3σ=1.2  nm, and HOY=100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.


Proceedings of SPIE | 2017

DSA process window expansion with novel DSA track hardware

Masahiko Harumoto; Harold Stokes; Yuji Tanaka; Koji Kaneyama; Chalres Pieczulewski; Masaya Asai; Maxime Argoud; Isabelle Servin; G. Chamiot-Maitral; Guillaume Claveau; Raluca Tiron; Ian Cayrefourcq

PS-b-PMMA block copolymer is a well-known DSA material, and there are many DSA patterning methods that make effective the use of such 1st generation materials. Consequently, this variety of patterning methods opens a wide array of possibilities for DSA application[1-4]. Last year, during the inaugural International DSA Symposium, researchers and lithographers concurred on common key issues for DSA patterning methods such as: defect density, LWR, placement error, etc. Defect density was specifically expressed as the biggest obstacle for new processes. Coat-Develop track systems contribute to the DSA pattern fabrication and also influence the DSA pattern performances[4]. In this study, defectivity was investigated using an atmosphere-controlled chamber on the SOKUDO DUO track. As an initial step for expanding the DSA process window, fingerprint patterns were used for various atmospheric conditions during DSA self-assembly annealing. In this study, we will demonstrate an improved DSA process window, and then we will discuss the mechanism for this atmospheric effect.


Proceedings of SPIE | 2017

Advanced surface affinity control for DSA contact hole shrink applications

Florian Delachat; Ahmed Gharbi; Patricia Pimenta Barros; Maxime Argoud; Céline Lapeyre; Sandra Bos; Jérôme Hazart; Laurent Pain; Cedric Monget; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Raluca Tiron

DSA patterning is a promising solution for advanced lithography as a complementary technique to standard and future lithographic technologies. In this work, we focused on DSA grapho-epitaxy process-flow dedicated for contact hole applications using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers. We investigated the impact on the DSA performances of the surface affinity of a guiding pattern design by ArF immersion lithography. The objective was to control and reduce the polymer residue at the bottom of the guiding pattern cavities since it can lead to lower a DSA-related defectivity after subsequent transfer of the DSA pattern. For this purpose, the DSA performances were evaluated as a function of the template surface affinity properties. The surface affinities were customized to enhance DSA performances for a PS-b-PMMA block copolymer (intrinsic period 35nm, cylindrical morphology) by monitoring three main key parameters: the hole open yield (HOY), the critical dimension uniformity (CDU-3σ) and the placement error (PE-3σ). Scanning transmission electron microscopy (STEM) was conjointly carried out on the optimized wafers to characterize the residual polymer thickness after PMMA removal. The best DSA process performances (i.e., hole open yield: 100%, CDU-3σ: 1.3nm and PE-3σ: 1.3nm) were achieved with a thickness polymer residue of 7 nm. In addition, the DSA-related defectivity investigation performed by review-SEM enabled us to achieve a dense (pitch 120nm) contact area superior to 0.01mm2 free of DSA-related defects. This result represents more than 6x105 SEM-inspected valid contacts, attesting the progress achieved over the last years and witnessing the maturity of the DSA in the case of contact holes shrink application.


Proceedings of SPIE | 2016

Process highlights to enhance DSA contact patterning performances

A. Gharbi; R. Tiron; Maxime Argoud; G. Chamiot-Maitral; Antoine Fouquet; Céline Lapeyre; P. Pimenta Barros; A. Sarrazin; Isabelle Servin; F. Delachat; S. Bos; S. Bérard-Bergery; J. Hazart; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Shayma Bouanani; Cedric Monget

In this paper, we focus on the directed-self-assembly (DSA) application for contact hole (CH) patterning using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair and multiplication which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern densityrelated- defects that are encountered with the commonly-used graphoepitaxy process flow. Our study also aims to evaluate DSA performances as function of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE) and defectivity (Hole Open Yield = HOY). Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable to significantly enhance CDU and PE. Regarding materials properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0 = 35nm), high DSA performances are achieved: CDU-3σ = 1.2nm, PE-3σ = 1.2nm and HOY = 100%. The stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks. Finally, simulation results, using a phase field model based on Ohta-Kawasaki energy functional are presented and discussed with regards to experiments.


Novel Patterning Technologies 2018 | 2018

Precise control of template affinity achieved by UV-assisted graphoepitaxy approach on silicon nanowires applications

P. Pimenta-Barros; G. Claveau; M. Argoud; Z. Chalupa; N. Allouti; C. Comboroure; G. Chamiot-Maitral; N. Posseme; L. Pain; R. Tiron; Christophe Navarro; Celia Nicolet; Ian Cayrefourcq

Directed Self Assembly (DSA) of block-copolymers (BCPs) is considered as a cost-effective solution to extend the performances of conventional lithography. In this work, we propose a smart surface modification technique to precisely control the surface affinity of guiding template used in the DSA graphoepitaxy process flows. The presented method consists in the UV irradiation of copolymers brushes in order to locally tune their surface affinity. By this way, we are able to differentiate the surface affinities of guide sidewalls (PMMA-attractive) and guide bottom (non-preferential affinity). A complete DSA-module is demonstrated and implemented on a 300mm integration flow dedicated to the creation of silicon nanowires-like transistor.


Nanoscale | 2018

An embedded neutral layer for advanced surface affinity control in grapho-epitaxy directed self-assembly

Florian Delachat; Ahmed Gharbi; Patricia Pimenta-Barros; Antoine Fouquet; Guillaume Claveau; Nicolas Posseme; Laurent Pain; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Raluca Tiron

Advanced surface affinity control for grapho-epitaxy directed self-assembly (DSA) patterning is essential for providing reliable DSA-based solutions for the development of semiconductor patterning. Independent control of surface affinity between the bottom and the sidewalls of a topographical guiding structure was achieved by embedding an ultrathin layer in the guiding template stack. The implementation of an embedded layer with tunable surface properties for DSA grapho-epitaxy was evaluated and optimized on 300 mm wafers by critical dimension SEM characterization. It was demonstrated that a thin protective layer, placed between the hard mask guiding template and the embedded layer, allows the preservation of the surface properties of the embedded layer during guiding template etching. The DSA performances of this novel grapho-epitaxy integration, using a topographical template patterned with 193 nm immersion lithography, were evaluated by monitoring the success rate and the critical dimension uniformity of the shrunk contacts. FIB-STEM analyses were further carried out to analyze the residual polymer thickness on the resulting contacts. This new integration leads to the control of the polymer residual thickness (a few nanometers) and uniformity (inferior to 1 nm) at the bottom of the guiding template which will facilitate the subsequent DSA pattern transfer.


Emerging Patterning Technologies 2018 | 2018

Inorganic guiding template implementation for DSA contact hole shrink process (Conference Presentation)

Ahmed Gharbi; Florian Delachat; Patricia Pimenta-Barros; G. Chamiot-Maitral; Maxime Argoud; Céline Lapeyre; Laurent Pain; Raluca Tiron; Christophe Navarro; Celia Nicolet; Ian Cayrefourcq

CH (Contact hole) patterning by DSA (Directed Self-Assembly) of BCP (Block Copolymer) is still attracting interest from the semiconductor industry for its CH repair and pitch multiplication advantages in sub-7nm nodes. For several years, extensive studies on DSA CH patterning have been carried out and significant achievements have been reported in materials and process optimization, CMOS integration and design compatibility and advanced characterization [1-4]. According to these studies, if a common agreement was clearly made for the use of PS-b-PMMA material as a potential candidate for DSA CH patterning integration in advanced nodes, the associated guiding template material was not yet selected and is still under investigation. Whereas the most reported guiding template materials for DSA PS-b-PMMA CH patterning are organic-based (resist or organic hard mask), we propose in this work to investigate a DSA process based on inorganic template material (silicon oxide based). Indeed, this latter offers some advantages over organic template: better surface affinity control, higher thermal stability during BCP self-assembly annealing, easier 3D-morphology imaging of DSA patterns and the possibility of wafer rework after the DSA step. The inorganic template based DSA process was first optimized using the planarization approach [5]. We demonstrated that the silicon oxide thickness should be properly adjusted to allow a good control of the BCP thickness over different guiding template densities. Afterwards, we compared the DSA performances (critical dimension: CD; CD uniformity: CDU, contact misalignment and defectivity) between both inorganic and organic template approaches. Equivalent results were obtained as shown in Figure 1. Finally, we demonstrated that inorganic template allows the rework of DSA wafers: similar CD and CDU for both guiding and DSA patterns were obtained after 3 cycles of rework (Figure 2).


Advances in Patterning Materials and Processes XXXV | 2018

Pillars fabrication by DSA lithography: material and process options

A. Gharbi; P. Pimenta-Barros; O. Saouaf; G. Reynaud; L. Pain; R. Tiron; Christophe Navarro; Celia Nicolet; Ian Cayrefourcq; M. Perego; F. Pérez-Murano; E. Amat; M. Fernández-Regúlez

We investigate the fabrication of sub-20 nm pillars by DSA lithography using PS-cylinder-forming PS-b-PMMA block copolymer (BCP). The approach is based on the removal of PMMA-matrix by either dry or wet etching to form PS pillars which act as a soft etching mask that can be further transferred to an intermediate hard one and then to the substrate. The process conditions of BCP self-assembly were optimized in terms of annealing temperature, brush layer composition and film thickness. It was demonstrated that PS/PMMA volume fractions of 50/50 in the PS-r-PMMA brush layer is the most adapted to obtain standing PS cylinders. Top-down SEM images showed a hexagonal array of PS cylinders in a PMMA matrix with a natural period of 33.5 nm (determined by Fast Fourier Transform FFT method) and CD around 15 nm. Both wet and dry etching strategies for PMMA removal were discussed. It was shown that UV exposure followed by organic solvent development is necessary to decrease pattern collapsing during PMMA removal step which is the most critical issue for pillar fabrication. PMMA removal by dry etching was shown to completely avoid this pattern collapsing. Finally, pillars etching transfer to typical organic Si containing antireflective coating and spin on carbon (SiARC/SOC) hard mask and then to the silicon substrate was demonstrated. Si pillars of 15 nm CD and 70 nm height were obtained with a straight profile shape.


Advances in Patterning Materials and Processes XXXV | 2018

Evaluation of anti-sticking layers performances for 200mm wafer scale smart NILTM process through surface and defectivity characterizations

Florian Delachat; Jean-Côme Phillipe; Vincent Larrey; Frank Fournel; Sandra Bos; Hubert Teyssedre; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq

In this work, an evaluation of various ASL processes for 200 mm wafer scale in the HERCULES® NIL equipment platform available at the CEA-Leti through the INSPIRE program is reported. The surface and adherence energies were correlated to the AFM and defectivity results in order to select the most promising ASL process for high resolution etch mask applications. The ASL performances of the selected process were evaluated by multiple working stamp fabrication using unpatterned and patterned masters though defectivity monitoring on optical based-inspection tools. Optical and SEM defect reviews were systematically performed. Multiple working stamps fabrication without degradation of the master defectivity was witnessed. This evaluation enabled to benchmark several ASL solutions based on the grafted technology develop by ARKEMA in order to reduce and optimize the soft stamp defectivity prior to its replication and therefore considerably reduce the final imprint defectivity for the Smart NIL process.


Advanced Etch Technology for Nanopatterning VII | 2018

Graphoepitaxy integration and pattern transfer of lamellar silicon-containing high-chi block copolymers

M. Zelsmann; Philippe Bézard; Xavier Chevalier; Antoine Legrain; Christophe Navarro; Celia Nicolet; Guillaume Fleury; Ian Cayrefourcq; Raluca Tiron

In this work, we present our recent achievements on the integration and transfer etching of a novel silicon-containing high-χ block copolymer for lines/spaces applications. Developed carbo-silane BCPs are synthesized under industrial conditions and present periodicities as low as 14 nm. A full directed self-assembly by graphoepitaxy process is shown using standard photolithography stacks and all processes are performed on 300 mm wafer compatible tools. Specific plasma processes are developed to isolate perpendicular lamellae and sub-12 nm features are finally transferred into silicon substrates. The quality of the final BCP hard mask (CDU, LWR, LER) are also investigated. Finally, thanks to the development of dedicated neutral layers and top-coats allowing perpendicular orientations, it was possible to investigate plasma etching experiments on full-sheets at 7 nm resolution, opening the way to the integration of these polymers in chemoepitaxy stacks.

Collaboration


Dive into the Ian Cayrefourcq's collaboration.

Top Co-Authors

Avatar

Raluca Tiron

Centre national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

M. Zelsmann

Centre national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar

Antoine Legrain

Centre national de la recherche scientifique

View shared research outputs
Researchain Logo
Decentralizing Knowledge