Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jerald Feldman is active.

Publication


Featured researches published by Jerald Feldman.


Journal of Molecular Catalysis | 1988

Controlled Ring-Opening Metathesis Polymerization by Molybdenum and Tungsten Alkylidene Complexes

Richard S. Schrock; Steven A. Krouse; Konrad Knoll; Jerald Feldman; John S. Murdzek; Dominic C. Yang

A new, convenient preparation of W(CHtBu)(NAr)Cl2(dme) (Ar = 2,6-diisopropylphenyl) involves treatment of W(NAr)(OtBu)2(CH2tBu)2 with PCl5 in dimethoxyethane as a final step. From it a variety of complexes of the type W(CHtBu)(NAr)(OR)2 (R = alkyl or fluoroalkyl) can be prepared straightforwardly in high yield. Analogous complexes of the type Mo(CHt-Bu)(NAr)(OR)2 were also prepared. Complexes in which OROtBu will not react with ordinary olefins, but will react with strained cyclic olefins such as norbornene (NBE) and cyclopentene to give living polymers from which the organic polymer can be cleaved by treatment with aldehydes. Polydispersities for norbornene polymers prepared in this manner are as low as 1.03. Mo(CHtBu)(NAr)(OtBu)2 also will polymerize endo,endo-5, 6-dicarbomethoxynorbomene (DCNBE) to give homopolymers and block copolymers (with NBE) with polydispersities in the range 1.10–1.15. A bis-CF3 Feast monomer can be ring-opened and the polymer cleaved with pivaldehyde to give (after pyrolysis) soluble polyenes, tBu(CHCH)2x+1tBu. Odd polyenes containing from 7 to 19 double bonds were isolated by column chromatography employing five equivalents of monomer. Triblocks containing a 40-ene (average) central chain, capped by two polynorbornene chains (25 equiv) have been prepared by related techniques. In this case the triblock with the expected molecular weight was observed to form a high molecular weight material that is still soluble. The polymer with the expected molecular weight was separated from the high molecular weight material by column chromatography.


Journal of Fluorine Chemistry | 2003

Design of very transparent fluoropolymer resists for semiconductor manufacture at 157 nm

Andrew E. Feiring; Michael Crawford; William B. Farnham; Jerald Feldman; Roger H. French; K.W. Leffew; Viacheslav A. Petrov; F.L. Schadt; Robert Clayton Wheland; Fredrick Claus Zumsteg

Photolithography at 157 nm requires development of new photoresists that are highly transparent at this wavelength. Transparent fluoropolymer platforms have been identified which also possess other materials properties required for chemically amplified imaging and aqueous development. Polymers of tetrafluoroethylene (TFE), a fluoroalcohol-substituted norbornene and an acid-labile acrylate ester show the best combination of properties. A solution, semibatch, free-radical polymerization process was developed allowing synthesis of the terpolymers on a multikilogram scale. Further property enhancements may arise from replacing the norbornene with functionalized tricyclononenes. Formulated resists have been imaged in a 157 nm microstepper.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Second generation fluids for 193nm immersion lithography

Roger H. French; Weiming Qiu; Min K. Yang; Robert Clayton Wheland; Michael F. Lemon; Aaron Lewis Shoe; Doug J. Adelman; Michael Crawford; Hoang V. Tran; Jerald Feldman; Steve J. McLain; Sheng Peng

Water is the first generation immersion fluid for 193 nm immersion lithography. With a fluid refractive index of 1.436 and an optical absorbance of 0.01/cm at 193 nm, water immersion technology can enable optical lithography for the ITRS’ 65 nm half-pitch node. However, to achieve numerical apertures above 1.35 and to go beyond the 45 nm node, low absorbance fluids with indices higher than 1.6 are needed for the second generation of immersion lithography. We have developed a number of Gen. 2 high index fluids for immersion lithography at 193 nm. These highly transparent fluids have 193 nm indices up to 1.67. 32 nm 1:1 line space imaging has been demonstrated using two of our Gen 2 candidate fluids, representing major advance in optical lithography. To understand the behavior and performance of different fluid classes, we use spectral index measurements to characterize the index dispersion, coupled with Urbach absorption edge analysis, and Lorentz oscillator modeling.


Proceedings of SPIE | 2007

High-index immersion lithography with second-generation immersion fluids to enable numerical aperatures of 1.55 for cost effective 32-nm half pitches

Roger H. French; Vladimir Liberman; Hoang Vi Tran; Jerald Feldman; Douglas J. Adelman; Robert Clayton Wheland; Wenliang Qiu; Stephan James Mclain; Osamu Nagao; Mureo Kaku; Michael T. Mocella; Min Kyu Yang; Michael F. Lemon; Lauren Brubaker; Aaron Lewis Shoe; B. Fones; Bernd Fischel; Knut Krohn; Dennis E. Hardy; Charles Y. Chen

To identify the most practical and cost-effective technology after water immersion lithography (Gen1) for sub-45 nm half pitches, the semiconductor industry continues to debate the relative merits of water double patterning (feasible, but high cost of ownership), EUV (difficulties with timing and infrastructure issues) and high index immersion lithography (single-exposure optical lithography, needing a suitable high index last lens element [HILLE]). With good progress on the HILLE, high index immersion with numerical apertures of 1.55 or above now seems possible. We continue our work on delivering a commercially-viable high index immersion fluid (Gen2). We have optimized several fluids to meet the required refractive index and absorbance specifications at 193 nm. We are also continuing to examine other property/process requirements relevant to commercial use, such as fluid radiation durability, last lens element contamination and cleaning, resist interactions and profile effects, and particle contamination and prevention. These studies show that both fluid handling issues, as well as active fluid recycling, must be well understood and carefully managed to maintain optimum fluid properties. Low-absorbing third generation immersion fluids, with refractive indices above 1.7 (Gen3), would further expand the resolution of singleexposure 193 nm lithography to below 32 nm half pitch.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Fluoropolymers for 157-nm lithography: optical properties from VUV absorbance and ellipsometry measurements

Roger H. French; Robert Clayton Wheland; David J. Jones; James N. Hilfiker; Ron A. Synowicki; Fredrick Claus Zumsteg; Jerald Feldman; Andrew E. Feiring

With the introduction of 157 nm as the next optical lithography wavelength, the need for new pellicle and photoresist materials optimized for this wavelength has produced much activity in optical characterization of thin film materials. Here we focus on ultra transparent fluoropolymers for 157 nm pellicle applications where absorbances below 0.01/micrometers are necessary to achieve transmissions above 98 percent. Transmission-based absorbance/micrometers measurements performed using VUV spectroscopy are characterized by rapid turn-around time, and are essential during the materials design and screening phase of a new materials development program. Once suitable candidate materials families have been identified for development into 157 nm pellicles, VUV ellipsometry becomes essential to model the film structure, characterize the complex index of refraction, and to tune the pellicles etalon design. Comparison of VUV absorbance measurements of fluoropolymer thin films on CaF2 substrates with VUV ellipsometry measurements of the same polymers on silicon substrates demonstrates some of the artifacts in, and helps define the accuracy of transmission based absorbance measurements. Fresnel interference fringes can produce transmission oscillations that can lead to underestimation, or even negative values, of the film absorbance. Film thickness nonuniformity can serve to reduce the Fresnel interference fringes, leading to reduce variation in the apparent 157 nm absorbance for micrometers thick films. VUV ellipsometry coupled with Fresnel analysis of the thin film/substrate system formally takes into consideration all of these optical artifacts, while at the same time determining the complex index of refraction of the materials. Using VUV ellipsometry and Fresnel analysis, the absorbance values do not show the large apparent oscillations, the film thickness is directly determined in the measurement, and film microstructure is also modeled. We have identified ultra transparent fluoropolymers which have 157 nm absorbances below 0.01/micrometers . These materials have the appropriate optical properties for use as 157 nm pellicles with greater than 98 percent transmission. This is an important for the development of 157 nm lithography, since the lack of a 157nm pellicle has been identified as a critical path issue.


Advances in Resist Technology and Processing XX | 2003

Single layer fluropolymer resists for 157-nm lithography

Michael Crawford; William Brown Farnham; Andrew E. Feiring; Jerald Feldman; Roger H. French; Kenneth Wayne Leffew; Viacheslav A. Petrov; Weiming Qiu; Frank Leonard Schadt; Hoang V. Tran; Robert Clayton Wheland; Fredrick Claus Zumsteg

We have developed a family of 157 nm resists that utilize fluorinated terpolymer resins composed of 1) tetrafluoroethylene (TFE), 2) a norbornene fluoroalcohol (NBFOH), and 3) t-butyl acrylate (t-BA). TFE incorporation reduces optical absorbance at 157 nm, while the presence of a norbornene functionalized with hexafluoroisopropanol groups contributes to aqueous base (developer) solubility and etch resistance. The t-butyl acrylate is the acid-catalyzed deprotection switch that provides the necessary contrast for high resolution 157 nm imaging. 157 nm optical absorbances of these resists depend strongly upon the amount of t-BA in the polymers, with the TFE/NBFOH dipolymers (which do not contain t-BA) exhibiting an absorbance lower than 0.6 μm-1. The presence of greater amounts of t-BA increases the absorbance, but also enhances the dissolution rate of the polymer after deprotection, yielding higher resist contrast. Formulated resists based upon these fluorinated terpolymer resins have been imaged at International Sematech, using the 157 nm Exitech microstepper with either 0.6 NA or 0.85 NA optics. We have carefully explored the relationship between imaging performance, resist contrast, optical absorbance, and t-BA content of these terpolymer resist resins, and describe those results in this contribution.


26th Annual International Symposium on Microlithography | 2001

157 nm imaging using thick single layer resists

Michael Crawford; Andrew E. Feiring; Jerald Feldman; Roger H. French; Viacheslav A. Petrov; Frank Leonard Schadt; Robert J. Smalley; Fredrick Claus Zumsteg

During the past year the probability that 157 nm lithography will precede next generation lithographies such as EUV or EPL has increased, partly due to encouraging advances in the design of polymeric materials, which have sufficient transparency at 157 nm to serve as platforms for single layer photoresists. We have identified several fluorinated resins which can be developed in aqueous 0.26 N TMAH, have reasonable etch resistances (comparable to poly-parahydroxystyrene), and can be formulated to yield photoresists with optical absorbancies at 157 nm which are low enough to be used at thicknesses of 150-200 nm. We have imaged a number of these formulated resists at 157 nm with the Exitech microstepper at International Sematech, and the results for formulated resists with optical absorption coefficients (base 10) as low as 2.1 per micron are described.


Advances in Resist Technology and Processing XVII | 2000

New Materials for 157 nm Photoresists: Characterization and Properties

Michael Crawford; Andrew E. Feiring; Jerald Feldman; Roger H. French; Mookkan Periyasamy; Frank Leonard Schadt; Robert J. Smalley; Fredrick Claus Zumsteg; Roderick R. Kunz; Veena Rao; Ling Liao; Susan M. Holl

The design of an organic material satisfying all of the requirements for a single layer photolithography resist at 157 nm is a formidable challenge. All known resists used for optical lithography at 193 nm or longer wavelengths are too highly absorbing at 157 nm to be used at film thicknesses greater than approximately 90 nm. Our goal has been to identify potential, new photoresist platforms that have good transparency at 157 nm (thickness normalized absorbance of 2.5 micrometer-1 or less), acceptable plasma etch resistance, high Tg and compatibility with conventional 0.26 N tetramethylammonium hydroxide developers. We have been investigating partially fluorinated resins and copolymers containing transparent acidic groups as potential 157 nm photoresist binders; a variety of material with promising initial sets of properties (transparency, etch resistance, solubility in aqueous TMAH) have been identified. Balancing these properties with imaging performance, however, remains a significant challenge.


Journal of The Chemical Society, Chemical Communications | 1991

Structural characterization of titanium(III) amide complexes; a planar geometry at nitrogen in the absence of Ti–N multiple bonding

Jerald Feldman; Joseph C. Calabrese

The titanium(II) complexes [Ti(η5-C5Me5)2(NMePn)] and [Ti(η5-C5Me5)2(NMePh)(CNBun)] have been characterized by X-ray crystallography.


Journal of The Chemical Society, Chemical Communications | 1991

Some reactions of transition metal amides and alkoxides with coordinated dienes; X-ray crystal structure of (η5-C5H5)2Zr(NHPh)(OSO2CF3)

Jerald Feldman; Joseph C. Calabrese

Cationic molybdenum diene complexes undergo nucleophilic attack by both early transition metal amides and late transition metal alkoxides to give π-allyl complexes.

Collaboration


Dive into the Jerald Feldman's collaboration.

Top Co-Authors

Avatar

Roger H. French

Case Western Reserve University

View shared research outputs
Top Co-Authors

Avatar

Maurice Brookhart

University of North Carolina at Chapel Hill

View shared research outputs
Top Co-Authors

Avatar

Richard R. Schrock

Massachusetts Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge