Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jonathan Gerald England is active.

Publication


Featured researches published by Jonathan Gerald England.


International Journal of Mass Spectrometry and Ion Processes | 1992

The Lamont—Doherty Geological Observatory Isolab 54 isotope ratio mass spectrometer

Jonathan Gerald England; Alan Zindler; Laurie. Reisberg; J.L. Rubenstone; V. Salters; F. Marcantonio; B. Bourdon; H. Brueckner; P.J. Turner; S. Weaver; P. Read

The Lamont—Doherty Geological Observatory (LDGO) Isolab 54 is a double focussing isotope ratio mass spectrometer that allows the measurement of thermal ions produced on a hot filament, (thermal-ionization mass spectrometry (TIMS)), secondary ions produced by sputtering a sample using a primary ion beam, (secondary ion mass spectrometry (SIMS)), and sputtered neutrals resonantly ionized using laser radiation, (sputter-induced resonance ionization mass spectrometry (SIRIMS)). Sputtering is carried out using an Ar primary beam generated in a duoplasmatron and focussed onto the sample using a two-lens column. Resonance ionization is accomplished using a frequency-doubled dye laser pumped by an excimer laser. The Isolabs forward geometry analyzer, consisting of an electrostatic followed by a magnetic sector, allows the simultaneous collection of different isotopes of the same element. This instrument is the first to have a multicollector that contains an ion-counting system based on a microchannel plate as well as traditional Faraday cups. A second electrostatic sector after the multicollector is equipped with an ion-counting Daly detector to allow high abundance sensitivity for measurements of large dynamics range. Selectable source, collector, α and energy slits on the instrument allow analyses to be made over a range of mass resolving powers and analyzer acceptances. Recent applications of the instrument have included the analyses of U by TIMS, Hf, Th and Re by SIMS and Re and Os by SIRIMS.


Journal of Physics G | 1990

Isotope shifts and hyperfine splitting in144-154Sm I

Jonathan Gerald England; I.S. Grant; J.A.R. Griffith; D.E. Evans; D.A. Eastham; G.W.A. Newton; P.M. Walker

The isotope shifts and hyperfine splitting have been measured in 144-154Sm I using the crossed-beam laser fluorescence method. Transitions at 598.98 nm and 570.68 nm were investigated for all isotopes except 146Sm and 153Sm, in which measurements were only obtained at 570.68 nm. Laser-induced fluorescence has not previously been reported for 145Sm: the hyperfine structure for the ground state of this isotope leads to mu =1.123 mu N, Q(spectroscopic)=-0.60e2b2 and delta (r2) (144-145)=0.11 fm2. The magnetic dipole and electric quadrupole moments of the odd isotopes and the changes in mean square radii of the even ones are shown to be consistent with the information obtained from nuclear spectroscopy.


Journal of Vacuum Science & Technology B | 1998

200 eV–10 keV boron implantation and rapid thermal annealing: Secondary ion mass spectroscopy and transmission electron microscopy study

Michael I. Current; D. Lopes; Majeed A. Foad; Jonathan Gerald England; C. Jones; D. Su

Atomic profiles (secondary ion mass spectroscopy) and cross-section transmission electron microscopy (TEM) images of selectively etched, annealed profiles were studied for boron energies from 200 eV to 10 keV and rapid thermal processing anneals at 900, 975, and 1050 °C. Consistent variations of dopant depth were obtained over this process range. TEM images showed evidence of lateral dopant variation near the edges of poly-Si gate structures, perhaps an effect of lateral straggling and reflection of ions from the polymask.


Journal of Stroke & Cerebrovascular Diseases | 1996

The Applied Materials xRLEAP ion implanter for ultra shallow junction formation

Jonathan Gerald England; L. Joyce; C. Burgess; Stephen Moffatt; Majeed A. Foad; David George Armour

As semiconductor device design rule dimensions continue to shrink, there is a demand for transistor junction depths to decrease. New processes are required that involve lower energy implants but the reduced beam currents available due to space charge limits in beam generation and transport at these lower energies can limit productivity to such a level that other non-implant technologies become attractive. The Applied Materials xR80 implanter uses state of the art beam generation and extraction optics coupled to an open geometry, short beamline to produce enhanced performance to energies down to 2 keV. The xRLEAP significantly increases beam currents at these energies and further reduces the energies at which product worthy beam currents can be obtained by the use of high transmission energy retardation optics added to the xR80 system. The milliampere beam currents achieved down to energies of a few hundred electron volts will extend the capability of ion implantation to manufacture product worthy shallow junction devices.


Nuclear Instruments & Methods in Physics Research Section B-beam Interactions With Materials and Atoms | 1995

The precision implant 9500 plasma flood system — the advanced solution to wafer charging

Hiroyuki Ito; Tadashi Kamata; Jonathan Gerald England; I. Fotheringham; Frederick Plumb; Michael I. Current

Abstract An advanced wafer charge neutralization system based on plasma flood technique has been developed to achieve an ideal voltage control on the wafer surface during implantation. The system makes use of the “self-regulating” characteristics of low energy plasma electrons that clamp the surface potential within a few volts. Low energy electrons are generated in an arc discharge plasma chamber combined with an accel/decel extraction mechanism and are transported to wafers through a guide tube that confines a dense plasma by using a combination of electrostatic suppression and a magnetic cusp field. The system has been equipped as standard on the Precision Implant 9500 and shown full yield on various charge sensitive devices.


Journal of Stroke & Cerebrovascular Diseases | 1996

Range and damage distributions in ultra-low energy boron implantation into silicon

N. Hatzopoulos; S. Suder; J. A. van den Berg; S. E. Donnelly; C.E.A. Cook; David George Armour; D. Panknin; W. Fukarek; M. Lucassen; L. Frey; Majeed A. Foad; Jonathan Gerald England; Stephen Moffatt; Paul Bailey; C.T. Noakes; H. Ohno

An ultra high vacuum, low energy ion implanter was used in conjunction with a range of analytical techniques to study the range and damage distributions of B/sup +/ ions implanted at normal incidence into Si(100) samples held at room temperature. Samples were implanted over a dose range from 1E14 ions/cm/sup 2/ with and without a surface oxide layer and those implanted at 1 keV and below were capped with a nominal 20 nm layer of /sup 28/Si by ion beam deposition in situ in order to produce an oxygen equilibration layer for subsequent secondary ion mass spectrometry depth profiling. The samples were analysed using secondary ion mass spectroscopy, medium energy ion scattering, spectroscopic ellipsometry, spreading resistance profiling and high resolution, cross section transmission electron microscopy to obtain the range and damage distributions and junction depths. The general observations were that channelling occurs at all energies studied, and that the relationship between the damage and range distributions depends strongly on bombardment energy. Comparison of the range and damage profiles was carried out to ascertain the role of the surface in determining the behaviour of defects produced very close to it by the low energy implants required for the production of junctions at depths in the 20 to 50 nm range. The role of the surface or silicon/silicon dioxide interface as a defect sink significantly influences the B redistribution behaviour during rapid thermal annealing.


Nuclear Instruments & Methods in Physics Research Section B-beam Interactions With Materials and Atoms | 1995

Studies of wafer surface charging using the THOR monitor device

P. Malone; W. Shull; Jonathan Gerald England; I. Fotheringham

Abstract The THOR monitor is a Texas Instruments in-house gate oxide integrity test device used to qualify the charging performance of production implant processes. It has five chareg collection antenna on capacitor structures with antenna to gate ratios ranging from 100:1 to 7500:1. The gate oxide thickness of 200 A could be considered to be large, but the addition of photoresist makes this device extremely sensitive to charging damage. A sensitive THOR photoresist configuration has been studied in conjunction with advanced beam diagnostics in a situation in which intermittent yield was achieved. A P/150 keV/20 mA implant was found to give full yield on the test structure for only approximately half of the implants before enhancements to the flood system overcame this. Traditional beam diagnostics, such as wheel current, capacitive charge sensor voltage and beam profile width could not predict when yield would be good or bad. However, measurement of slow ion spectra before an implant using a cylindrical mirror analyser ion spectrometer gave good correlation between the slow ion spectrum and THOR yield. The variation in slow ion spectrum could be related to ion source tuning and demonstrates that although present charge neutralization devices are sufficient for todays requirements, future charging performance improvements may well come about from understanding the generation of high quality beams and the use of advanced beam diagnostic to aid in automatic implanter tuning.


Proceedings of 11th International Conference on Ion Implantation Technology | 1996

Factors affecting the design of the Applied Materials xR80 implant family

C. Lowrie; Jonathan Gerald England; A. Hunter; David Richard Burgin; B. Harrison

This paper describes the assumptions made and solutions adopted by the xR80 design team in an attempt to optimise the overall cost performance ratio of the system, by design. The beamline design will be described in detail, together with the factors which increase low energy beam current transmission. New ion source and extraction technology have been key in producing high beam currents, concurrent with low maintenance intervals. To minimise the system footprint, several creative approaches have been taken in design of the beamline and also the handling system, particularly since the dimensional characteristics are to be maintained in the transition to 300 mm wafer size. These factors, together with the solutions adopted to maintain system maintainability will be described in detail in the paper.


Journal of Stroke & Cerebrovascular Diseases | 1996

Analysis of sub-1 keV implants in silicon using SIMS, SRP, MEISS and DLTS: the xRLEAP low energy, high current implanter evaluated

Majeed A. Foad; Jonathan Gerald England; Stephen Moffatt; David George Armour

Ultra shallow junctions can be formed, amongst other techniques, by very low energy ion implantation. The Implant Division of Applied Materials have recently developed a low energy, high current ion implanter, the xRLEAP (xR family, Low Energy Advance Process). This implanter is capable of delivering product worthy beam currents, in the milli-ampere regime down to energies of few hundred electron volts. A series of B and BF/sub 2/ implants were carried out onto non-amorphised, 200 mm Si wafers using beam energies in the range 0.2 keV<E<1 keV. As-implanted and annealed samples were profiled using Secondary Ion Mass Spectrometry (SIMS). Surface damage due to implantation was evaluated using Medium Energy Ion Scattering Spectroscopy (MEISS). The carrier concentration profiles and junction depths of the annealed samples were investigated using Spreading Resistance Probe (SRP). Samples with ultra shallow junctions, <0.07 /spl mu/m, were examined using Deep Level Transient Spectroscopy (DLTS) for the first time.


Ion Implantation Technology–92 | 1993

Measurements of Beam Potentials and Surface Voltages on Semiconductor Wafers Using an Ion Spectrometer

Jonathan Gerald England; N. Bryan; Hiroyuki Ito; D.G. Armour; J. A. van den Berg; I. Fotheringham; P. Kindersley

The development of charge neutralisation schemes has historically used an empirical approach. Floodgun systems were proposed and then optimised by varying parameters until the best yields for devices were achieved. As the size of devices decreases and constraints on surface potentials become more stringent, further performance improvements will only be achieved if there is a thorough understanding of the interaction between the implanting ion beam and wafer. This paper describes the first results of an experimental study aimed at improving our understanding of this interface by measuring the energy spectra of ions originating from ion beams and near implanted surfaces.

Collaboration


Dive into the Jonathan Gerald England's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge