Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Joost Bekaert is active.

Publication


Featured researches published by Joost Bekaert.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Application challenges with double patterning technology (DPT) beyond 45 nm

Jungchul Park; Douglas Van Den Broeke; J. Fung Chen; Mircea Dusa; Robert John Socha; Jo Finders; Bert Vleeming; Anton van Oosten; Peter Nikolsky; Vincent Wiaux; Eric Hendrickx; Joost Bekaert; Geert Vandenberghe

Double patterning technology (DPT) is a promising technique that bridges the anticipated technology gap from the use of 193nm immersion to EUV for the half-pitch device node beyond 45nm. The intended mask pattern is formed by two independent patterning steps. Using DPT, there is no optical imaging correlation between the two separate patterning steps except for the impact from mask overlay. In each of the single exposure step, we can relax the dense design pattern pitches by decomposing them into two half-dense ones. This allows a higher k1 imaging factor for each patterning step. With combined patterns, we can achieve overall k1 factor that exceeds the conventional Rayleigh resolution limit. This paper addresses DPT application challenges with respect to both mask error factor (MEF) and 2D patterning. In our simulations using DPT with relaxed feature pitch for each exposure step, the MEF for the line/space is fairly manageable for 32nm half-pitch and below. The real challenge for the 32nm half-pitch and below with DPT is how to deal with the printing of small 2D features resulting from the many cutting sites due to feature decomposition. Each split of a dense pattern generates two difficult-to-print line-end type features with dimension less than one-fifth or one-sixth of ArF wavelength. Worse, the proximity environment of the 2D cut features can then become quite complex. How to stitch them correctly back to the original target requires careful attention. Applying target bias can improve the printing performance in general. But using a model-based stitching error correction method seems to be a preferred solution.


Physica C-superconductivity and Its Applications | 2000

Flux pinning by regular arrays of ferromagnetic dots

Margriet Van Bael; Lieve Van Look; Kristiaan Temst; M. Lange; Joost Bekaert; Ulrich May; G. Güntherodt; Victor Moshchalkov; Yvan Bruynseraede

The pinning of flux lines by two different types of regular arrays of submicron magnetic dots is studied in superconducting Pb films; rectangular Co dots with in-plane magnetization are used as pinning centers to investigate the influence of the magnetic stray field of the dots on the pinning phenomena, whereas multilayered Co/Pt dots with out-of-plane magnetization are used to study the magnetic interaction between the flux lines and the magnetic moment of the dots. For both types of pinning arrays, matching anomalies are observed in the magnetization curves versus perpendicular applied field at integer and rational multiples of the first matching field, which correspond to stable flux configurations in the artificially created pinning potential. By varying the magnetic domain structure of the Co dots with in-plane magnetization, a clear influence of the stray field of the dots on the pinning efficiency is found. For the Co/Pt dots with out-of-plane magnetization, a pronounced field asymmetry is observed in the magnetization curves when the dots are magnetized in a perpendicular field prior to the measurement. This asymmetry can be attributed to the interaction of the out-of-plane magnetic moment of the Co/Pt dots with the local field of the flux lines and indicates that flux pinning is stronger when the magnetic moment of the dot and the field of the flux line have the same polarity.


Applied Physics Letters | 2002

Noninvasive magnetic imaging and magnetization measurement of isolated mesoscopic Co rings

Joost Bekaert; D. Buntinx; Victor Moshchalkov; J. De Boeck; Gustaaf Borghs; Vitaly V. Metlushko

A high-resolution scanning Hall probe microscope was used as a noninvasive technique to visualize the magnetization reversal in an array of micron-size Co rings. Two stable “onion” states at remanence and “vortex” states at switching fields were found. To rule out a possible influence of dipole–dipole interaction between ring elements on remagnetization processes, an isolated Co ring was deposited on top of a Hall magnetometer and extremely sharp transitions from onion to vortex and from vortex to onion state of opposite polarity were resolved. Our results were supported by MOKE magnetization measurements and micromagnetic simulations.


Proceedings of SPIE | 2010

Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems

Andre Engelen; Oscar Noordman; Gert Streutker; Bert van Drieenhuizen; Cas van Nuenen; Wilfred Edward Endendijk; Jef Verbeeck; Wim Bouman; Anita Bouma; Robert Kazinczi; Robert John Socha; Dirk Jürgens; Joerg Zimmermann; Bastian Trauter; Joost Bekaert; Bart Laenens; Daniel Corliss; Greg McIntyre

This paper describes the principle and performance of FlexRay, a fully programmable illuminator for high NA immersion systems. Sources can be generated on demand, by manipulating an array of mirrors instead of the traditional way of inserting optical elements and changing lens positions. On demand (freeform) source availability allows for reduction in R&D cycle time and shrink in k1. Unlimited tuning allows for better machine to machine matching. FlexRay has been integrated in a 1.35NA TWINSCAN exposure system. We will present data of FlexRay using measured traditional and freeform illumination sources. In addition system performance qualification data on stability, reproducibility and imaging will be shown. The benefit of FlexRay for SMO enabling shrink is demonstrated using an SRAM example.


30th European Mask and Lithography Conference | 2014

Challenges and opportunities in applying grapho-epitaxy DSA lithography to metal cut and contact/via applications

Yuansheng Ma; J. Andres Torres; Germain Fenger; Yuri Granik; Julien Ryckaert; Geert Vanderberghe; Joost Bekaert; James Word

Directed self assembly has become a very attractive technology for Fin and contact/via applications. Some of the issues related to pattern placement error, defectivity rates and process integration are actively being addressed by the industry and have not faced significant roadblocks for contact-hole applications. While many DSA applications have been proposed, deploying DSA for Fin structures competes in cost and variability control with SADP techniques. Given the 1D nature of find structures, it is difficult to control fin placement with accuracy better than 4nm 3 sigma. In addition, a second patterning step is needed to remove the un-wanted sections of the grating and leaving behind only the required fin structures, therefore limiting its adoption. On the other hand, DSA applied to contact/via holes has demonstrated low defectivity rates due to improved polymerization and processing techniques, as well as an adequate control to reduce the placement error due to thermal fluctuations during the annealing and cylinder formation process. For that reason, the results from contact/via layers can extend to the metal cut layer printing with DSA grapho-epitaxy. In this paper, we show that DSA provides a promising cost-effective solution for the technology scaling by reducing mask number from N to N-1. It is shown that pxOPC may provide better guiding patterns than the conventional one. In addition, the practical grouping rules for DSA should avoid 2D grouping, avoid putting more than 3 features in a group with different pitches, and avoid grouping features with different sizes. Our recommendations to designers for DSA technology are the following: if the design is to be decomposed with 2 or more DSA masks, then the design rules should be set up in this way: first the minimum pitch is better to be on DSA material’s own natural pitch; second, for each DSA mask, singletons and bar-like grouping shapes with DSA’s natural pitch should be used as much as possible.


Proceedings of SPIE | 2010

Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells

Joost Bekaert; Bart Laenens; Staf Verhaegen; L. Van Look; Darko Trivkovic; Frederic Lazzarino; Geert Vandenberghe; P. van Adrichem; Robert John Socha; Stanislas Baron; Min-Chun Tsai; K. Ning; Sharon Hsu; Hua-Yu Liu; Anita Bouma; E. van der Heijden; Orion Mouraille; Koen Schreel; Jozef Maria Finders; Mircea Dusa; Joerg Zimmermann; Paul Gräupner; Jens-Timo Neumann; Christoph Hennerkes

The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography. Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results. Recently, freeform illumination has become available through pixelated DOEs or through FlexRayTM, ASMLs programmable illuminator system, allowing for virtually unconstrained intensity distribution within the source pupil. In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization (SMO) for an aggressive use case, and wafer-based verification. For a 22 nm node SRAM of 0.099 μm² and 0.078 μm2 bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of SMO and freeform illumination. In this work, both pixelated DOEs and FlexRay are applied. Additionally, the match between the latter two is confirmed on wafer, in terms of CD and process window.


Journal of Micro-nanolithography Mems and Moems | 2010

Comparing positive and negative tone development process for printing the metal and contact layers of the 32- and 22-nm nodes

Joost Bekaert; Lieve Van Look; Vincent Truffert; Frederic Lazzarino; Geert Vandenberghe; Mario Reybrouck; Shinji Tarutani

A strong demand exists for techniques that extend application of ArF immersion lithography. Besides techniques such as litho-friendly design, dual exposure/patterning schemes, customized illumination, alternative processing schemes are also viable candidates. One of the most promising alternative flows uses image reversal by means of a negative tone development (NTD) step with a Fujifilm solvent-based developer. Traditionally, contact and trench printing uses a dark-field mask in combination with positive tone resist and positive tone development. With NTD, the same features are printed in positive resist using light-field masks, and consequently with better image contrast. We present an overview of NTD applications, comparing the NTD performance to that of the traditional development. Experimental work is performed at a 1.35 numerical aperture, targeting the contact/metal layers of the 32- and 22-nm nodes. For contact printing, we consider both single- and dual-exposure schemes for regular arrays and 2-D patterns. For trench printing, we study 1-D, line end, and 2-D patterns. We also assess the etch capability and critical dimension uniformity performance of the NTD process. We proves the added value of NTD. It enables us to achieve a broader pitch range and/or smaller litho targets, which makes NTD attractive for the most advanced lithography applications, including double patterning.


Proceedings of SPIE | 2009

Ultimate contact hole resolution using immersion lithography with line/space imaging

Vincent Truffert; Joost Bekaert; Frederic Lazzarino; Mireille Maenhoudt; A. Miller; M. Moelants; Timothy Wu

Contact Hole (CH) resolution is limited by the low aerial image contrast using dark field masks. Moreover the 2- Dimensional character of CH is a limiting factor in the use of extreme Resolution Enhancement Techniques for reaching the smallest pitch. These limitations can be overcome if one deconvolves the 2D CH into two exposures of 1D structures (i.e. lines). These 1D structures can indeed be printed at the ultimate resolution limit of the scanner using dipole exposures. Recently, several materials have become available to pattern CH from such a double exposure of line patterns. It is shown in this paper how this concept of deconvolution can be used in different techniques: Two 1D aerial images can be recomposed in order to obtain 2D images which will subsequently be reversed into CH. We can distinguish, on the one hand, a reversal based on the positive development of line crossings into resist pillar patterns, on which are deposited or coated a gap-fill material layer. The pillars are then removed, leaving a masking material layer with holes. On the other hand, negative tone development can be used to reverse directly the recomposed 2D aerial image: while the classical positive development creates pillars, the negative tone development inverses immediately this image to create contact holes in the resist layer. In this paper, we demonstrate the potential of the double exposure method. We characterise three reversal techniques using a NA=1.35 immersion scanner for patterning 40nm or lower CH at pitch 80nm. We also show etch performance of these processes and address the complexity of each solution.


Sensors and Actuators A-physical | 2000

InAs/Al0.2Ga0.8Sb quantum well Hall effect sensors

M Behet; Joost Bekaert; J. De Boeck; Gustaaf Borghs

Hall sensors with high sensitivity and excellent temperature stability were fabricated from quantum wells based on an InAs/Al 0.2 Ga 0.8 Sb heterostructure. The layers were grown on semi-insulating GaAs substrates by molecular beam epitaxy (MBE). Maximum Hall mobilities of 29.500 cm 2 V -1 s -1 with sheet electron concentrations of 2 × 10 12 cm -2 were measured at room temperature for an undoped quantum well structure. For a cross-shaped sensor, these excellent transport properties resulted in sensitivities of 0.9 T -1 (for voltage drive) and 300 V A -1 T -1 (for current drive). Additional doping of the InAs quantum well leads to an improvement of the temperature stability of the input resistance and sensitivity in the temperature range of - 100°C to + 150°C.


Journal of Micro-nanolithography Mems and Moems | 2011

Experimental verification of source-mask optimization and freeform illumination for 22-nm node static random access memory cells

Joost Bekaert; Bart Laenens; Staf Verhaegen; Lieve Van Look; Darko Trivkovic; Frederic Lazzarino; Geert Vandenberghe; Paul van Adrichem; Robert J. Socha; Stephen Hsu; Hua-yu Liu; Orion Mouraille; Koen Schreel; Mircea Dusa; Jörg Zimmermann; Paul Gräupner; Jens Timo Neumann

The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography. Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results. Recently, freeform illumination has become available through pixelated diffractive optical elements or through ASMLs programmable illuminator system (FlexRayTM) allowing for virtually unconstrained intensity distribution within the source pupil. In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization (SMO) for an aggressive use case and wafer-based verification. For a 22-nm node SRAM of 0.099 and 0.078 μm2 bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of SMO and freeform illumination. In this work, both pixelated diffractive optical elements and FlexRay are applied. Additionally, the match between the latter two is confirmed on wafer, in terms of critical dimension and process window.

Collaboration


Dive into the Joost Bekaert's collaboration.

Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Victor Moshchalkov

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jan Doise

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

L. Van Look

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Gustaaf Borghs

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

M. J. Van Bael

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge