Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kei Yamamoto is active.

Publication


Featured researches published by Kei Yamamoto.


Proceedings of SPIE | 2012

Functional resist materials for negative tone development in advanced lithography

Shinji Tarutani; Kana Fujii; Kei Yamamoto; Kaoru Iwato; Michihiro Shirakawa

Challenges of lithography performance, dry etch resistance, and substrate dependency in resist materials dedicated to negative tone development (NTD) process were studied. The gamma-parameter in contrast curve was increased to achieve improvement in lithography performances, and CD-uniformity (CDU), DOF, and circularity of dense C/H pattern were studied for the resist material. Ohnishi-parameter of de-protected polymer was decreased to improve dry etch resistance, and dissolution property and lithography performance were studied to look at maturity of materials. Formulation dependency on pattern collapse property on spin-on-type Si-hard mask (Si-HM) were studied, and material property to suppress pattern collapse was discussed.


Proceedings of SPIE | 2015

Advanced patterning approaches based on negative-tone development (NTD) process for further extension of 193nm immersion lithography

Michihiro Shirakawa; Naoki Inoue; Hajime Furutani; Kei Yamamoto; Akiyoshi Goto; Mitsuhiro Fujita

Two approaches which achieve the further evolution of NTD (Negative Tone Development) process are shown in this article. One is ACCEL (Advanced Chemical Contrast Enhancement Layer) process that can improve the lithography performance and the other is DTD (Dual Tone Development) process that can shrink patterning pitch below the limit of single exposure process. ACCEL is an additionally provided layer which is coated on a surface of NTD resist film before exposure and removed by NTD developer. ACCEL can enhance the acid distribution and dissolution contrast of the NTD resist. In fact, lithography performances such as exposure latitude (EL) and DOF improved dramatically by applying ACCEL compared to the NTD resist without ACCEL. We consider that suppression of excessive acid diffusion and material transfer between the resist layer and the ACCEL layer are the causes of the contrast enhancement. DTD process is one of the simplest pitch shrink method which is achieved by repeating PTD and NTD process. Feasibility study of DTD patterning has been demonstrated so far. However, Exposure latitude margin and CDU performance were not sufficient for applying DTD to HVM. We developed the novel DTD specific resist under a new concept, and 32 nm half pitch (hp) contact hole (CH) pattern was successfully formed with enough margins. DTD line and space (L/S) patterning are also demonstrated and 24 nm hp L/S pattern can be resolved. k1 factors of DTD CH and L/S patterns reach to 0.20 and 0.15, respectively.


Advances in resist technology and processing. Conference | 2005

Study and control of the interfacial mass transfer of resist components in 193-nm immersion lithography

Shinichi Kanna; Haruki Inabe; Kei Yamamoto; Shinji Tarutani; Hiromi Kanda; Kazuyoshi Mizutani; Kazuyuki Kitada; Seiji Uno; Yasumasa Kawabe

The interfacial mass transfer issues of resist components in ArF immersion lithography were investigated both for topcoat resist system and for non-topcoat resist system. PAGs and photoacids are known for the major components that leach out from the resist to water and topcoat has been simply expected to shut off this leaching. In this study, topcoat layer was acutally verified significantly to reduce the PAG leaching, but PAG (or photoacid) diffusion from the resist to topcoat was found as another issue. This resulted in T-top formation in the imaging due to the loss of photoacid nearby the resist surface caused by this diffusion. A material approach to reduce this interfacial diffusion was proposed through a thermodynamic consideration of the materials system. The free energy change for PAG-polymer solubilization is the key and the Solubility Parameter value was considered to represent a good measure of this free energy change. The experimental results well matched this analysis. On the other hand, a perfect suppression of the leaching without using topcoat would be more desirable if it is feasible. The influence of structural parameter of the resist component was investgiated. The hydrophobicity of PAG was found to well correlate inversely to the leaching amount of the PAG into water, and thereby a technical guideline was obtained in designing resist materials to make them resistant to the leaching. By optimizing each resist component on this bases, we actually demonstrated a resist that showed the PAG leaching level reduced down to 10-13[mol/cm2], which we believe far below to what we are today with conventional dry resists. A resist sample was thus developed targeting the non-topcoat approach and was examined for its imaging performance with a realistic immersion lithography tool without using any topcoat. The resist actually showed good imaging results fully with the benefits that we expected with the immersion exposure.


Proceedings of SPIE | 2016

Negative-tone imaging with EUV exposure toward 13nm hp

Hideaki Tsubaki; Wataru Nihashi; Toru Tsuchihashi; Kei Yamamoto; Takahiro Goto

Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is expected to have 1.6 times higher EUV absorption than polyhydroxystyrene based on calculation. However, observed value of acid amount was comparable or significantly worse than polyhydroxystyrene.


Proceedings of SPIE | 2015

Cost effective processes by using negative-tone development application

Kei Yamamoto; Keita Kato; Keiyu Ou; Michihiro Shirakawa; Sou Kamimura

The high volume manufacturing with extreme ultraviolet (EUV) lithography is delaying due to its light source issue. Therefore, ArF-immersion lithography has still been the most promising technology for down scaling of device pitch. As the limitation of ArF-immersion single patterning is considered to be nearly 40nm half pitch (hp), ArF-immersion lithography has necessity to be extended by combining processes to achieve sub- 20nm hp patterning. Recently, there are many reports about the extension of ArF-immersion lithography, e.g., self-aligned multiple patterning (SAMP) and litho-etch-litho-etch (LELE) process. These methods have been realized by the combination of lithography, deposition, and etching. On the other aspect, 1-D layout is adopted for leading devices, which contains additional cut or block litho and etch processes to form 2-D like layout. Thus, according to the progress of down scaling technologies, number of processes increases and the cost of ownership (CoO) can not be neglected. Especially, the number of lithography steps and etching steps has been expanded by the combination of processes, and it has come to occupy a large portion of total manufacturing cost. We have reported that negative tone development (NTD) system using organic solvent developer have enough resolution to achieve fine narrow trench or contact hole patterning, since negative tone imaging enables to apply bright mask for these pattern with significantly high optical image contrast compared to positive tone imaging, and it has contributed high throughput multiple patterning. On the other hand, NTD system is found to be useful not only for leading device node, but also for cost effective process. In this report, we propose the cost effective process using NTD application. In the viewpoint of cost down at exposure tool, we have developed KrF-NTD resist which is customized for organic solvent developer. Our KrF-NTD resist has resolution comparable with ArF positive tone development (PTD) resist in narrow trench pattern, and it realizes downgrade of exposure tool. Also, we propose litho-litho-etch process with NTD resist. This method can reduce etching process and decrease total manufacturing cost more directly.


Proceedings of SPIE | 2017

Challenges and progress in low defectivity for advanced ArF and EUV lithography processes using surface localized material technology

Michihiro Shirakawa; Hideaki Tsubaki; Hajime Furutani; Wataru Nihashi; Naohiro Tango; Kazuhiro Marumo; Kei Yamamoto; Hidenori Takahashi; Akiyoshi Goto; Mitsuhiro Fujita

The main challenge in ArF lithography is to reduce cost of ownership (CoO) because increase in multi-patterning process is generally required to obtain a fine pattern. As a consequence, industry strongly requires ArF lithography process with a fast scan speed scanner and low defectivity material for CoO. The breakthrough technology to improve defectivity and resolution simultaneously was the polarity-change property of film surface from hydrophobic to hydrophilic after alkaline development process because a property after development process should be only associated with defectivity, not fast scan speed. The materials with high polarity change function were explored to EUV process to achieve low defectivity with good lithography performances.


Archive | 2007

Positive photosensitive composition and method of forming pattern using the same

Hyou Takahashi; Naoya Sugimoto; Kunihiko Kodama; Kei Yamamoto


Archive | 2014

PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE AND ELECTRONIC DEVICE

Junichi Ito; Shuhei Yamaguchi; Hidenori Takahashi; Kei Yamamoto


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Materials and process parameters on ArF immersion defectivity study

Shinichi Kanna; Haruki Inabe; Kei Yamamoto; Toshiaki Fukuhara; Shinji Tarutani; Hiromi Kanda; Wada Kenji; Kunihiko Kodama; Koji Shitabatake


Journal of Photopolymer Science and Technology | 2005

Study and Control of the Interfacial Mass Transfer of Resist Components in 193nm Immersion Lithography

Shinichi Kanna; Haruki Inabe; Kei Yamamoto; Shinji Tarutani; Hiromi Kanda; Kazuyoshi Mizutani; Kazuyuki Kitada; Shinji Uno; Yasumasa Kawabe

Collaboration


Dive into the Kei Yamamoto's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge