Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kenneth A. Goldberg is active.

Publication


Featured researches published by Kenneth A. Goldberg.


Applied Optics | 2001

Fourier-transform method of phase-shift determination

Kenneth A. Goldberg; Jeffrey Bokor

A new phase-shifting interferometry analysis technique has been developed to overcome the errors introduced by nonlinear, irregular, or unknown phase-step increments. In the presence of a spatial carrier frequency, by observation of the phase of the first-order maximum in the Fourier domain, the global phase-step positions can be measured, phase-shifting elements can be calibrated, and the accuracy of phase-shifting analysis can be improved. Furthermore, reliance on the calibration accuracy of transducers used in phase-shifting interferometry can be reduced; and phase-retrieval errors (e.g., fringe print-through) introduced by uncalibrated fluctuations in the phase-shifting phase increments can be alleviated. The method operates deterministically and does not rely on iterative global error minimization. Relative to other techniques, the number of recorded interferograms required for analysis can be reduced.


Applied Optics | 1999

Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy

Patrick P. Naulleau; Kenneth A. Goldberg; Sang H. Lee; Chang Chang; David T. Attwood; Jeffrey Bokor

The phase-shifting point-diffraction interferometer (PS/PDI) was recently developed and implemented at Lawrence Berkeley National Laboratory to characterize extreme-ultraviolet (EUV) projection optical systems for lithography. Here we quantitatively characterize the accuracy and precision of the PS/PDI. Experimental measurements are compared with theoretical results. Two major classes of errors affect the accuracy of the interferometer: systematic effects arising from measurement geometry and systematic and random errors due to an imperfect reference wave. To characterize these effects, and hence to calibrate the interferometer, a null test is used. This null test also serves as a measure of the accuracy of the interferometer. We show the EUV PS/PDI, as currently implemented, to have a systematic error-limited reference-wave accuracy of 0.0028 waves (lambda/357 or 0.038 nm at lambda = 13.5 nm) within a numerical aperture of 0.082.


Emerging Lithographic Technologies VIII | 2004

Status of EUV micro-exposure capabilities at the ALS using the 0.3-NA MET optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Kevin Bradley; Rene Delano; Paul Denham; Bob Gunion; Bruce Harteneck; Brian Hoef; Hanjing Huang; Keith Jackson; Gideon Jones; Drew Kemp; J.A. Liddle; Ron Oort; Al Rawlins; Senajith Rekawa; Farhad Salmassi; Ron Tackaberry; Carl Chung; Layton C. Hale; Don Phillion; Gary E. Sommargren; John S. Taylor

The success of recent static printing experiments at Lawrence Berkeley National Laboratory’s Advanced Light Source (ALS) using the EUV LLC Engineering Test Stand (ETS) Set-2 optic has demonstrated the utility of synchrotron-based EUV exposure stations. Although not viable light sources for commercial lithography, synchrotrons provide clean, convenient, and extremely flexible sources for developmental microfield lithography. The great flexibility of synchrotron-based illumination arises from the fact that such sources facilitate active coherence reduction, thus enabling the coherence function, or pupil fill, to be actively sculpted in real time. As the commercialization of EUV progresses, the focus of developmental EUV lithography is shifting from low numerical aperture (NA) tools such as the 0.1-NA ETS to higher-NA tools such as the 0.3-NA Micro Exposure Tool (MET). To support printing with MET optics at the ALS, a new printing station has been developed, relying on a scanning illuminator to provide programmable coherence (pupil-fill) control. The illuminator is designed to operate up to a coherence factor (s) of 1 and support the full 200′600 design printed field of view. In addition to a new illuminator design, new focus sensing and dose-control systems have also been implemented. Here we describe the MET printing capabilities in detail and present preliminary printing results with the Sematech Set-2 MET optic.


Optics Letters | 2003

Hartmann wave-front measurement at 13.4 nm with λ EUV /120 accuracy

Pascal Mercère; Philippe Zeitoun; Mourad Idir; Sebastien Le Pape; Denis Douillet; Xavier Levecq; Guillaume Dovillaire; Samuel Bucourt; Kenneth A. Goldberg; Patrick P. Naulleau; Senajith Rekawa

We report, for the first time to our knowledge, experimental demonstration of wave-front analysis via the Hartmann technique in the extreme ultraviolet range. The reference wave front needed to calibrate the sensor was generated by spatially filtering a focused undulator beam with 1.7- and 0.6-microm-diameter pinholes. To fully characterize the sensor, accuracy and sensitivity measurements were performed. The incident beams wavelength was varied from 7 to 25 nm. Measurements of accuracy better than lambdaEUV/120 (0.11 nm) were obtained at lambdaEUV = 13.4 nm. The aberrations introduced by an additional thin mirror, as well as wave front of the spatially unfiltered incident beam, were also measured.


Journal of Vacuum Science & Technology B | 2000

Extreme ultraviolet carrier-frequency shearing interferometry of a lithographic four-mirror optical system

Patrick P. Naulleau; Kenneth A. Goldberg; Jeffrey Bokor

The phase-shifting point diffraction interferometer (PS/PDI) has recently been developed to address the problem of at-wavelength metrology of extreme ultraviolet (EUV) optical systems. Although extremely accurate, the fact that the PS/PDI is limited to use with coherent EUV sources, such as undulator radiation, is a drawback for its widespread use. An alternative to the PS/PDI, with relaxed coherence requirements, is lateral shearing interferometry (LSI). Here we describe various LSI implementations and demonstrate the use of a cross-grating, carrier-frequency configuration to characterize a large-field 4×-reduction EUV lithography optic. The results obtained are directly compared with PS/PDI measurements.


IEEE Journal of Quantum Electronics | 1999

Tunable coherent radiation in the soft X-ray and extreme ultraviolet spectral regions

David T. Attwood; Patrick P. Naulleau; Kenneth A. Goldberg; Edita Tejnil; Chang Chang; Raul Beguiristain; Phillip J. Batson; Jeffrey Bokor; Eric M. Gullikson; Masato Koike; Hector Medecki; James H. Underwood

Undulator radiation, generated by relativistic electrons traversing a periodic magnet structure, can provide a continuously tunable source of very bright and partially coherent radiation in the extreme ultraviolet (EUV), soft X-ray (SXR), and X-ray regions of the electromagnetic spectrum. Typically, 1-10 W are radiated within a 1/N relative spectral bandwidth, where N is of order 100. Monochromators are frequently used to narrow the spectral bandwidth and increase the longitudinal coherence length, albeit with a more than proportionate loss of power. Pinhole spatial filtering is employed to provide spatially coherent radiation at a power level determined by the wavelength, electron beam, and undulator parameters. In this paper, experiments are described in which broadly tunable, spatially coherent power is generated at EUV and soft X-ray wavelengths extending from about 3 to 16 nm (80-430-eV photon energies). Spatially coherent power of order 10 /spl mu/W is achieved in a relative spectral bandwidth of 9/spl times/10/sup -4/, with 1.90-GeV electrons traversing an 8-cm period undulator of 55 periods. This radiation has been used in 13.4-nm interferometric tests that achieve an rms wavefront error (departure from sphericity) of /spl lambda//sub euv//330. These techniques scale in a straightforward manner to shorter soft X-ray wavelengths using 4-5-cm period undulators at 1.90 GeV and to X-ray wavelengths of order 0.1 nm using higher energy (6-8 GeV) electron beams at other facilities.


Optics Letters | 2009

Microscopy of extreme ultraviolet lithography masks with 13.2 nm tabletop laser illumination

Fernando Brizuela; Y. Wang; C. Brewer; F. Pedaci; Weilun Chao; Erik H. Anderson; Yanwei Liu; Kenneth A. Goldberg; Patrick P. Naulleau; P. Wachulak; Mario C. Marconi; David T. Attwood; J. J. Rocca; Carmen S. Menoni

We report the demonstration of a reflection microscope that operates at 13.2 nm wavelength with a spatial resolution of 55+/-3 nm. The microscope uses illumination from a tabletop extreme ultraviolet laser to acquire aerial images of photolithography masks with a 20 s exposure time. The modulation transfer function of the optical system was characterized.


SPIE 25th International Symposium on Microlithography, Santa Clara, CA (US), 02/27/2000--03/03/2000 | 2000

EUV Engineering Test Stand

Daniel A. Tichenor; Glenn D. Kubiak; William C. Replogle; Leonard E. Klebanoff; John B. Wronosky; Layton C. Hale; Henry N. Chapman; John S. Taylor; James A. Folta; Claude Montcalm; Russell M. Hudyma; Kenneth A. Goldberg; Patrick P. Naulleau

The Engineering Test Stand (ETS) is an EUV laboratory lithography tool. The purpose of the ETS is to demonstrate EUV full-field imaging and provide data required to support production-tool development. The ETS is configured to separate the imaging system and stages from the illumination system. Environmental conditions can be controlled independently in the two modules to maximize EUV throughput and environmental control. A source of 13.4 nm radiation is provided by a laser plasma source in which a YAG laser beam is focused onto a xenon-cluster target. A condenser system, comprised of multilayer-coated mirrors and grazing-incidence mirrors, collects the EUV radiation and directs it onto a reflecting reticle. A four-mirror, ring-field optical system, having a numerical aperture of 0.1, projects a 4x-reduction image onto the wafer plane. This design corresponds to a resolution of 70 nm at a k1 of 0.52. The ETS is designed to produce full- field images in step-and-scan mode using vacuum-compatible, one-dimension-long-travel magnetically levitated stages for both reticle and wafer. Reticle protection is incorporated into the ETS design. This paper provides a system overview of the ETS design and specifications.


Journal of Vacuum Science & Technology B | 1997

At-wavelength interferometry for extreme ultraviolet lithography

Edita Tejnil; Kenneth A. Goldberg; Sang Hun Lee; Hector Medecki; Phillip J. Batson; Paul Denham; Alastair A. MacDowell; Jeffrey Bokor; David T. Attwood

A phase-shifting point diffraction interferometer is being developed for at-wavelength testing of extreme ultraviolet lithographic optical systems. The interferometer was implemented to characterize the aberrations of a 10× Schwarzschild multilayer-coated reflective optical system at the operational wavelength of 13.4 nm. Chromatic vignetting effects are observed and they demonstrate the influence of multilayer coatings on the wave front. A subaperture of the optic with a numerical aperture of 0.07 was measured as having a wave front error of 0.090 wave (1.21 nm) root mean square (rms) at a 13.4 nm wavelength. The wave front measurements indicate measurement repeatability of ±0.008 wave (±0.11 nm) rms. Image calculations that include the effects of the measured aberrations are consistent with imaging performed with the 10× Schwarzschild optic on an extreme ultraviolet exposure tool.


SPIE Photomask Technology | 2011

Printability of native blank defects and programmed defects and their stack structures

Hyuk Joon Kwon; Jenah Harris-Jones; Ranganath Teki; Aaron Cordes; Toshio Nakajima; Iacopo Mochi; Kenneth A. Goldberg; Yuya Yamaguchi; Hiroo Kinoshita

We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). We used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECHs actinic inspection tool (AIT) was used to image defects and predict their printability. Defect images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM) dimensions. The printability of native and programmed defects was also investigated.

Collaboration


Dive into the Kenneth A. Goldberg's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Erik H. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jeffrey Bokor

University of California

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

David T. Attwood

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Markus P. Benk

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Senajith Rekawa

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Antoine Wojdyla

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge