Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kyu-Ha Shim is active.

Publication


Featured researches published by Kyu-Ha Shim.


ION IMPLANTATION TECHNOLOGY 2101: 18th International Conference on Ion Implantation Technology IIT 2010 | 2011

Enabling Solutions for 28 nm CMOS Advanced Junction Formation

C. I. Li; P. Kuo; H. H. Lai; K. Ma; R. Liu; H. H. Wu; M. Chan; Chan-Lon Yang; J. Y. Wu; B. N. Guo; B. Colombeau; T. Thirumal; E. Arevalo; T. Toh; Kyu-Ha Shim; H. L. Sun; T. Wu; S. Lu

Controlling short channel effects for further scaled CMOS is required to take full advantage of the introduction of high K/metal gate or stress induced carrier mobility enhancement. Ultra‐Shallow junction formation is necessary to minimize the short channel effects. In this paper, we will discuss the challenges for 28 nm Ultra‐Shallow Junction formations in terms of figure of merits of Rs/Xj and junction leakage. We will demonstrate that by adopting and integrating Carborane (CBH, C2B10H12) molecular implant and Phosphorus along with co‐implantation and PTC II (VSEA Process Temperature Control) technology, sub‐32 nm pLDD and nLDD junction targets can be timely achieved using traditional anneals. Those damage engineering solutions can be readily implemented on state‐of‐the‐art 28 nm device manufacturing.


international workshop on junction technology | 2014

28nm Device improvement studies by replacing Indium with Gallium halo

Y. L. Chin; C. H. Wei; C. Y. Yang; S. W. Yeh; W. F. Chang; S. C. Huang; C. K. Chiang; C. C. Chien; J. F. Lin; J. Y. Wu; B. N. Guo; B. Colombeau; N. Pradhan; T. Wu; M. Hou; S. Chen; C. Chung; T. Toh; D. Kouzminov; D. Barrett; Kyu-Ha Shim

Optimization of halo profile for advanced MOSFET device is known to be very critical and challenging. Halo profiles around channel can cause carrier mobility degradation, leakage and higher Vt mismatch. Indium and high scattering P-type dopant (HS-P) mixed halo formation have been used widely for n-FET devices. Gallium has a better activation than Indium and is heavier specie than HS-P. Gallium could be promising specie for device improvement through halo optimization in planar devices or ground plane/retrograde well for better FinFET leakage characteristics. In this paper, Gallium is used to replace Indium halo on bare and device wafers using a poly-SiON 28nm process. Secondary Ion Mass Spectroscopy (SIMS) was employed for dopant profiles after anneals. Device gain in drive current with better Drain Induced Barrier Lowering or DIBL by 12mV was observed when Gallium replaced Indium in the HS-P/Indium mixed halo. The observed excessive device shift when Gallium was used to replace HS-P halo will be discussed in a future study. Ga for halo formation is not an plug/play and the interaction among the co-implant and dopant through implant induced damage should be investigated in the integration flow.


ION IMPLANTATION TECHNOLOGY: 16th International Conference on Ion Implantation Technology - IIT 2006 | 2006

Process Transferability from a Spot Beam to a Ribbon Beam Implanter: CMOS Device Matching

Vincent Kaeppelin; Zdenek Chalupa; Laurent Frioulaud; Sandeep Mehta; Baonian Guo; Kyu-Ha Shim; Horst Lendzian; Yuri Erokhin

The exercise of dose and energy matching is the standard way to integrate a new implanter into a manufacturing fab. Sheet resistance and secondary‐ion mass spectroscopy (SIMS) measurements on bare silicon wafers have been the conventional metrologies to establish dose/energy equivalence between implanters. Invariably, matched performance on bare silicon wafers translated into matched device performance between implanters of the same kind. However, as devices scale down to 90 run and beyond, the implanter design can become a significant factor in terms of process matching. In this paper we discuss the dynamics of transferring 120–90nm logic processes from a traditional batch, spot beam implanter to a single wafer (SW), parallel ribbon beam implanter. The results show that the traditional approach to dose matching involving the basic parameters of specie, dose and energy, although necessary, is inadequate to provide matched device performance between the two implanter types. 3‐dimensional effects which cann...


ION IMPLANTATION TECHNOLOGY: 16th International Conference on Ion Implantation Technology - IIT 2006 | 2006

High Current Implant Precision Requirements for Sub‐65 nm Logic Devices

Yuri Erokhin; Terry Romig; Elshot Kim; JieJie Xu; Baonian Guo; Jinnig Liu; Kyu-Ha Shim; Peter Nunan

As CMOS devices shrink they become increasingly sensitive to variations of ion beam angular properties and beam current density. In sub‐65 nm devices beam divergence and beam steering variations at levels commonly seen in high current implanters for Source/Drain Extension (SDE) implants could significantly shift device characteristics compromising yield and robustness of manufacturing process. In this paper we review the implant precision requirements for Source/Drain Extension (SDE) formation for sub‐65nm node devices. TCAD simulation was used to analyze the effects of beam divergence and steering errors for an on‐axis (0°) SDE implant on sub‐65 nm NMOS HP devices. Effects of energy contamination introduced along with decelerated low energy ions in p‐type SDE implants in PMOS devices is also discussed. Response of device electrical characteristics to variation of beam angle properties is quantified and beam angle control requirements for state‐of‐the‐art ultra‐low energy implanters formulated.


2014 20th International Conference on Ion Implantation Technology (IIT) | 2014

Impact of gallium implant for advanced CMOS halo/pocket optimization

Y.L. Chin; C.Y. Yang; T.H. Lee; S.W. Yeh; W.F. Chang; S.C. Huang; N.H. Yang; C.C. Chien; J.F. Lin; G. Li; J.Y. Wu; B. N. Guo; B. Colombeau; T. Thanigaivelan; N. Pradhan; T. Wu; M. Hou; S. Chen; C. Chung; T. Toh; D. Kouzminov; D. Barrett; Kyu-Ha Shim

Optimization of halo profile for advanced MOSFET device is important to control device short channel effect as well as device leakage. Multiple halo implants, such as mixture of Indium and boron to tailor the halo formation, have been used widely for n-FET devices. Amid its AMU and solubility, Gallium has a potential for better halo activation than Indium and reduced lateral straggling than boron. Therefore, Gallium could be a promising specie for device improvement through 1) halo optimization in planar devices, or 2) ground plane for retrograde well for better FinFET leakage characteristics. In this paper, Gallium is used to replace high scattering P dopant (HS-P) halo for SRAM or HS-P cluster halo for core NFET using a poly-SiON 28nm process with bare wafers and device splits. Secondary Ion Mass Spectroscopy (SIMS) was employed for dopant profiles for as-implanted and after thermal process. It is shown that when replacing HS-P or HS-P cluster halo by Gallium an excessive device shift is observed. The overlap capacitance indicates that overlap lateral diffusion regions are significant different with Gallium halo than established process flow. The paper will discuss potential underlying physical mechanisms.


ION IMPLANTATION TECHNOLOGY 2012: Proceedings of the 19th International Conference on Ion Implantation Technology | 2012

“Abnormal” angle response curves of TW/Rs for near zero tilt and high tilt channeling implants

Baonian Guo; Hans-Joachim L. Gossmann; Terry Toh; B. Colombeau; Stan Todorov; Frank Sinclair; Kyu-Ha Shim; Todd Henry

Angle control has been widely accepted as the key requirement for ion implantation in semiconductor device processing. From an ion implanter point of view, the incident ion direction should be measured and corrected by suitable techniques, such as XP-VPS for the VIISta implanter platform, to ensure precision ion placement in device structures. So called V-curves have been adopted to generate the wafer-based calibration using channeling effects as the Si lattice steer ions into a channeling direction. Thermal Wave (TW) or sheet resistance (Rs) can be used to determine the minimum of the angle response curve. Normally it is expected that the TW and Rs have their respective minima at identical angles. However, the TW and Rs response to the angle variations does depend on factors such as implant species, dose, and wafer temperature. Implant damage accumulation effects have to be considered for data interpretation especially for some “abnormal” V-curve data. In this paper we will discuss some observed “abnorma...


international workshop on junction technology | 2011

Mitigating eSiGe strain relaxation using cryo-implantation technology for PSD formation

C. I. Li; C. L. Yang; H. Y. Hsieh; G. P. Lin; R. Liu; H. Y. Wang; B. C. Hsu; M. Chan; J. Y. Wu; I. C. Chen; B. N. Guo; B. Colombeau; Kyu-Ha Shim; T. Wu; H. L. Sun; S. Lu

Strain techniques have been adopted and widely used in the advanced nodes since early 65nm for carrier mobility improvement. For PMOS, eSiGe incorporation in the SD is the process of choice to induce compressive strain in the channel for mobility improvement. To further lower the contact resistance, it is preferred to boost Boron concentration for pSD formed by eSiGe process. Normal implant process could lead strain relaxation caused by implant damage. In this paper, cryo-implantation technology is applied and characterization of strain relaxation is conducted using a state-of-the-art 28nm CMOS process flow. Experimental results indicate strain relaxation can be reduced with cryo implants relative to the room temperature implants. This study clearly showed that cryo-implantion reduced damage formation resulting in junction leakage reduction.


ION IMPLANTATION TECHNOLOGY: 16th International Conference on Ion Implantation Technology - IIT 2006 | 2006

Impact of Dose Rate Effects and Damage Engineering on Device Performance

Kyu-Ha Shim; Yeonsang Hwang; Yongseung Lee; Jung-soo An; Seonho Ryu; Seungho Hahn; Changjune Cho; Namhae Hur; Baonian Guo; Jinning Liu; Yuri Erokhin

Traditional implant conditions during source/drain formation process, such as dopant, dose, energy and incident angle have been known as key parameters determining device electrical characteristics. As devices scale down, instant dose rate of BF2 ion implantation, however, should be considered as an important factor to control buried channel PMOS characteristics since fluorine and boron diffusion behavior can be varied depending on implant damage and results in change of effective channel length. Ribbon beam single wafer high current implanters enable ion beam density to be modulated. By changing beam size with same beam current during source/drain implantation, PMOS electrical characteristics of 70nm Flash memory have been investigated. With achieved results, device matching to spot beam batch ion implanter has been demonstrated.


international workshop on junction technology | 2011

Epi process margin improvement using co-implantation to control Phosphorus diffusion in a DRAM manufacturing

Michael Hsiao; Steve Ji; Yiliang Lin; Jay Huang; Chien-Hua Chu; Pin-Yuan Yu; Wei-Ming Wang; Mei-Ju Chen; Li-Yuan Cheng; Chi-Ren Hung; Yu-Shan Chen; B. N. Guo; W. Zou; Lester Chiou; Scott Wei; H. L. Sun; Alex Hsu; T. Toh; Kyu-Ha Shim; B. Colombeau; Todd Henry

Co-implantation has been proven to be an effective method to reduce Transient Enhanced Diffusion (TED). In this paper, the effect of Carbon co-implant energy, dose, and combined with Fluorine implants were investigated to control TED for a contact Phosphorus. With optimized co-implant conditions, Dynamic Random Access Memory (DRAM) device wafers were used to verify that the Epi process window can be enlarged due to better control of Phosphorus TED. The study revealed that Carbon suppresses the Phosphorus diffusion tailing and reduces Gate Induced Drain Leakage (GIDL) without degrading Vt and contact resistance performances. With the reduction of Phosphorus diffusion and GIDL, thinner selective Epi layer can be tolerated, resulting in widened process window of Epi final thickness and increased selective Epi process margin.


ION IMPLANTATION TECHNOLOGY: 17th International Conference on Ion Implantation#N#Technology | 2008

vMask® Usage in Semiconductor Foundry Manufacturing

C. I. Li; Hsien Hsiu Lai; R. Liu; Chao Chun Chen; Yu-Ren Wang; M. Chan; Chan Lon Yang; S. F. Tzou; Baonian Guo; Sungho Jo; Kyu-Ha Shim; Youn Ki Kim; Todd Henry

Time and cost of development are key factors for the success of advanced devices. Device development requires multiple iterations of carefully designed implant splits to optimize device performance and yield. Conventional whole wafer implant splits are both time consuming and costly, due in part to the need to gain adequate statistics with the relatively high wafer to wafer variability of early stage development devices. VMASK, which provides multiple implant conditions on a single wafer, can be applied for a variety of corner splits, such as well, halo, and LDD implants to achieve target device performance and optimize process flow. By reducing the impact of variability from other process steps and the direct reduction in the number of device wafers required by a factor of four, a significant reduction in both wafer cost and cycle time can be achieved. In this paper, the benefits of VMASK were discussed and evaluated in a high volume production foundry fab and applied in the process development.

Collaboration


Dive into the Kyu-Ha Shim's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

T. Toh

Varian Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

C. I. Li

United Microelectronics Corporation

View shared research outputs
Top Co-Authors

Avatar

M. Chan

United Microelectronics Corporation

View shared research outputs
Researchain Logo
Decentralizing Knowledge