Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Lakshmi N. Reddy is active.

Publication


Featured researches published by Lakshmi N. Reddy.


Ibm Journal of Research and Development | 1996

BooleDozer: logic synthesis for ASICs

Leon Stok; David S. Kung; Daniel Brand; A. D. Drumm; Lakshmi N. Reddy; N. Hieter; D. J. Geiger; H. H. Chao; Peter J. Osler; A. J. Sullivan

Logic synthesis is the process of automatically generating optimized logic-level representation from a high-level description. With the rapid advances in integrated circuit technology and the resultant growth in design complexity, designers increasingly rely on logic synthesis to shorten the design time while achieving performance objectives. This paper describes the IBM logic synthesis system BooleDozer™, including its organization, main algorithms, and how it fits into the design process. The BooleDozer logic synthesis system has been widely used within IBM to successfully synthesize processor and ASIC designs.


IEEE Design & Test of Computers | 2004

An integrated environment for technology closure of deep-submicron IC designs

Louise H. Trevillyan; David S. Kung; Ruchir Puri; Lakshmi N. Reddy; Michael A. Kazda

With larger chip images and increasingly aggressive technologies, key design processes must interoperate, PDS, a physical-synthesis system, accomplishes technology closure through interacting processes of logic optimization, placement, timing, clock insertion, and routing, all using a common infrastructure with robust variable-accuracy analysis abstractions.


design, automation, and test in europe | 2000

Transformational placement and synthesis

Wilm E. Donath; Prabhakar Kudva; Leon Stok; Lakshmi N. Reddy; Andrew Sullivan; Kanad Chakraborty; Paul G. Villarrubia

Novel methodology and algorithms to seamlessly integrate logic synthesis and physical placement through a transformational approach are presented. Contrary to most placement algorithms that minimize a global cost function based on an abstract representation of the design, we decomposed the placement function into a set of transforms and coupled them directly with incremental timing, noise, and/or power analyzers. This coupling results in a direct and more accurate feedback on optimizations for placement actions. These placement transforms are then integrated with traditional logic synthesis transforms leading to a converging set of optimizations based on the concurrent manipulation of boolean, electrical, as well as physical data. Experimental results indicate that the proposed approach creates an efficient converging design flow that eliminates placement and synthesis iteration. It results in timing improvements, and maintains other global placement measures such as wire congestion and wire length. The flexibility of the transformational approach allows us to easily add, extend and support more sophisticated algorithms that involve critical as well as non-critical regions and target a variety of metrics including noise, yield and manufacturability:.


design automation conference | 2012

GLARE: global and local wiring aware routability evaluation

Yaoguang Wei; Cliff C. N. Sze; Natarajan Viswanathan; Zhuo Li; Charles J. Alpert; Lakshmi N. Reddy; Andrew D. Huber; Gustavo E. Tellez; Douglas Keller; Sachin S. Sapatnekar

Industry routers are very complex and time consuming, and are becoming more so with the explosion in design rules and design for manufacturability requirements that multiply with each technology node. Global routing is just the first phase of a router and serves the dual purpose of (i) seeding the following phases of a router and (ii) evaluating whether the current design point is routable. Lately, it has become common to use a “light mode” version of the global router, similar to todays academic routers, to quickly evaluate the routability of a given placement. This use model suffers from two primary weaknesses: (i) it does not adequately model the local routing resources, while the model is important to remove opens and shorts and eliminate DRC violations, (ii) the metrics used to represent congestion are non-intuitive and often fail to pinpoint the key issues that need to be addressed. This paper presents solutions to both issues, and empirically demonstrates that incorporating the proposed solutions within a global routing based congestion analyzer yields a more accurate view of design routability.


Ibm Journal of Research and Development | 2011

Design methodology for the IBM POWER7 microprocessor

Joshua Friedrich; Ruchir Puri; Uwe Brandt; Markus Buehler; Jack DiLullo; Jeremy T. Hopkins; Mozammel Hossain; Michael A. Kazda; Joachim Keinert; Zahi M. Kurzum; Douglass T. Lamb; Alice Lee; Frank J. Musante; Jens Noack; Peter J. Osler; Stephen D. Posluszny; Haifeng Qian; Shyam Ramji; Vasant B. Rao; Lakshmi N. Reddy; Haoxing Ren; Thomas Edward Rosser; Benjamin R. Russell; Cliff C. N. Sze; Gustavo E. Tellez

The IBM POWER7® microprocessor, which is the next-generation IBM POWER® processor, leverages IBMs 45-nm silicon-on-insulator (SOI) process with embedded dynamic random access memory to achieve industry-leading performance. To deliver this complex 567-mm2 die, the IBM design team made significant innovations in chip design methodology. This paper describes the most critical methodology innovations specific to POWER7 design, which were in modularity, timing closure, and design efficiency.


international symposium on physical design | 2010

Logical and physical restructuring of fan-in trees

Hua Xiang; Haoxing Ren; Louise H. Trevillyan; Lakshmi N. Reddy; Ruchir Puri; Minsik Cho

A symmetric-function fan-in tree (SFFT) is a fanout-free cone of logic that computes a symmetric function, so that all of the leaf nets in its support set are commutative. Such trees are frequently found in designs, especially when the design originated as two-level logic. These trees are usually created during logic synthesis, when there is no knowledge of the locations of the tree root or of the source gates of the leaf nets. Because of this, large SFFTs present a challenge to placement algorithms. The result is that the tree placements are generally far from optimal, leading to wiring congestion, excess buffering, and timing problems. Restructuring such trees can produce a more placeable and wire-efficient design. In this paper, we propose algorithms to identify and to restructure SFFTs during physical design. The key feature of an SFFT is that it can be implemented with various structures of a uniform set of gates with commutative inputs, i.e. AND, OR, or XOR. Drawing on the flexibility of SFFT logic structures, the proposed tree restructuring algorithm uses existing placement information to rebuild the SFFTs with reduced tree wire lengths. The experimental results demonstrate the efficiency and effectiveness of the algorithms.


international conference on computer design | 1999

Performance driven optimization of network length in physical placement

Wilm E. Donath; Prabhakar Kudva; Lakshmi N. Reddy

A novel technique to significantly improve the performance of a design by the movement of sets of gates during or after timing driven placement is proposed. A method to identify optimal set of circuit (gate) movements to enhance timing is presented. Experimental results with a min-cut placement tool indicate that the proposed approach of direct manipulation of circuit locations, significantly improves the timing of large partitions of a chip.


design, automation, and test in europe | 2013

Intuitive ECO synthesis for high performance circuits

Haoxing Ren; Ruchir Puri; Lakshmi N. Reddy; Smita Krishnaswamy; Cindy Washburn; Joel Earl; Joachim Keinert

In the IC industry, chip design cycles are becoming more compressed, while designs themselves are growing in complexity. These trends necessitate efficient methods to handle late-stage engineering change orders (ECOs) to the functional specification, often in response to errors discovered after much of the implementation is finished. Past ECO synthesis algorithms have typically treated ECOs as functional errors and applied error diagnosis techniques to solve them. However, error diagnosis methods are primarily geared towards finding a single change, and moreover, tend to be computationally complex. In this paper, we propose a unique methodology that can systematically incorporate human intuition into the ECO process. Our methodology involves finding a set of directly substitutable points known as functional correspondences between the original implementation and the new specification by using name-preserving synthesis and user hints, to diminish the size of the ECO problem. On average, our approach can reduce the size of logic changes by 94% from those reported in current literature. We then incorporate our logic ECO changes into an incremental physical synthesis flow to demonstrate its usability in an industrial setting. Our ECO synthesis methodology is evaluated on high-performance industrial designs. Results indicate that post-ECO worst negative slack (WNS) improved 14% and total negative slack (TNS) improved 46% over pre-ECO.


ACM Transactions on Design Automation of Electronic Systems | 2014

Techniques for scalable and effective routability evaluation

Yaoguang Wei; Cliff C. N. Sze; Natarajan Viswanathan; Zhuo Li; Charles J. Alpert; Lakshmi N. Reddy; Andrew D. Huber; Gustavo E. Tellez; Douglas Keller; Sachin S. Sapatnekar

Routing congestion has become a critical layout challenge in nanoscale circuits since it is a critical factor in determining the routability of a design. An unroutable design is not useful even though it closes on all other design metrics. Fast design closure can only be achieved by accurately evaluating whether a design is routable or not early in the design cycle. Lately, it has become common to use a “light mode” version of a global router to quickly evaluate the routability of a given placement. This approach suffers from three weaknesses: (i) it does not adequately model local routing resources, which can cause incorrect routability predictions that are only detected late, during detailed routing; (ii) the congestion maps obtained by it tend to have isolated hotspots surrounded by noncongested spots, called “noisy hotspots”, which further affects the accuracy in routability evaluation; and (iii) the metrics used to represent congestion may yield numbers that do not provide sufficient intuition to the designer, and moreover, they may often fail to predict the routability accurately. This article presents solutions to these issues. First, we propose three approaches to model local routing resources. Second, we propose a smoothing technique to reduce the number of noisy hotspots and obtain a more accurate routability evaluation result. Finally, we develop a new metric which represents congestion maps with higher fidelity. We apply the proposed techniques to several industrial circuits and demonstrate that one can better predict and evaluate design routability and that congestion mitigation tools can perform much better to improve the design routability.


international symposium on physical design | 2018

Interconnect Optimization Considering Multiple Critical Paths

Jiang Hu; Ying Zhou; Yaoguang Wei; Stephen T. Quay; Lakshmi N. Reddy; Gustavo E. Tellez; Gi-Joon Nam

Interconnect optimization, including buffer insertion and Steiner tree construction, continues to be a pillar technology that largely determines overall chip performance. Buffer insertion algorithms in published literature are mostly focused on optimizing only the most critical path. This is a sensible approach for the first order effect. As people strive to squeeze out more performance in the post Moores law era, the timing of near critical paths is worth considering as well. In this work, a p-norm based Figure Of Merit (pFOM) is proposed to account for both the critical and near critical path timing. Accordingly, a pFOM-driven buffer insertion method is developed. Further, the interaction with timing driven Steiner tree is investigated. The proposed techniques are validated in an industrial design flow and the results confirm their advantages.

Researchain Logo
Decentralizing Knowledge