Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Luigi Raffo is active.

Publication


Featured researches published by Luigi Raffo.


international conference on computer aided design | 2006

Designing application-specific networks on chips with floorplan information

S. Murali; Paolo Meloni; Federico Angiolini; David Atienza; Salvatore Carta; Luca Benini; G. De Micheli; Luigi Raffo

With increasing communication demands of processor and memory cores in systems on chips (SoCs), scalable networks on chips (NoCs) are needed to interconnect the cores. For the use of NoCs to be feasible in todays industrial designs, a custom-tailored, application-specific NoC that satisfies the design objectives and constraints of the targeted application domain is required. In this work, we present a design methodology that automates the synthesis of such application-specific NoC architectures. We present a floorplan aware design method that considers the wiring complexity of the NoC during the topology synthesis process. This leads to detecting timing violations on the NoC links early in the design cycle and to have accurate power estimations of the interconnect. We incorporate mechanisms to prevent deadlocks during routing, which is critical for proper operation of NoCs. We integrate the NoC synthesis method with an existing design flow, automating NoC synthesis, generation, simulation and physical design processes. We also present ways to ensure design convergence across the levels. Experiments on several SoC benchmarks are presented, which show that the synthesized topologies provide a large reduction in network power consumption (2.78 times on average) and improvement in performance (1.59 times on average) over the best mesh and mesh-based custom topologies. An actual layout of a multimedia SoC with the NoC designed using our methodology is presented, which shows that the designed NoC supports the required frequency of operation (close to 900 MHz) without any timing violations. We could design the NoC from input specifications to layout in 4 hours, a process that usually takes several weeks


IEEE Transactions on Electron Devices | 2006

A charge-modulated FET for detection of biomolecular processes: conception, modeling, and simulation

Massimo Barbaro; Annalisa Bonfiglio; Luigi Raffo

A novel, solid-state sensor for charge detection in biomolecular processes is proposed. The device, called charge-modulated field-effect transistor, is compatible with a standard CMOS process, thus allowing fully electronic readout and large scale of integration of biosensors on a single chip. The detection mechanism is based on the field-effect modulation induced by electric charge changes related to the bioprocess. A model of the device was developed, to provide a manageable relationship between its output and geometric, design and process parameters. Extensive two- and three-dimensional simulations of the proposed structure validated the model and the working principle.


design, automation, and test in europe | 2005

×pipes Lite: A Synthesis Oriented Design Library For Networks on Chips

Stergios Stergiou; Federico Angiolini; Salvatore Carta; Luigi Raffo; Davide Bertozzi; Giovanni De Micheli

The limited scalability of current bus topologies for systems on chips (SoCs) dictates the adoption of networks on chips (NoCs) as a scalable interconnection scheme. Current SoCs are highly heterogeneous in nature, denoting homogeneous, preconfigured NoCs as inefficient drop-in alternatives. While highly parametric, fully synthesizeable (soft) NoC building blocks appear as a good match for heterogeneous MPSoC architectures, the impact of instantiation-time flexibility on performance, power and silicon cost has not yet been quantified. The paper details /spl times/pipes Lite, a design flow for automatic generation of heterogeneous NoCs. /spl times/pipes Lite is based on highly customizable, high frequency and low latency NoC modules, that are fully synthesizeable. Synthesis results provide modules that are directly comparable, if not better, than the current published state-of-the-art NoCs in terms of area, power latency and target operating frequency measurements.


IEEE Electron Device Letters | 2006

A CMOS, fully integrated sensor for electronic detection of DNA hybridization

Massimo Barbaro; Annalisa Bonfiglio; Luigi Raffo; Andrea Alessandrini; Paolo Facci; I. BarakBarak

An integrated field-effect device for fully electronic deoxyribonucleic acid (DNA) detection was realized in a standard CMOS process. The device is composed of a floating-gate MOS transistor, a control-capacitor acting as integrated counterelectrode, and an exposed active area for DNA immobilization. The drain-current of the transistor is modulated by the electric charge carried by the DNA molecules. After DNA hybridization, this charge increases and a change in the output current is measured. Experimental results are provided. Full compatibility with a standard CMOS process opens the way to the realization of low-cost large-scale integration of fast electronic DNA detectors.


design, automation, and test in europe | 2006

Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness

Federico Angiolini; Paolo Meloni; Salvatore Carta; Luca Benini; Luigi Raffo

Increasing miniaturization is posing multiple challenges to electronic designers. In the context of multi-processor system-on-chips (MPSoCs), we focus on the problem of implementing efficient interconnect systems for devices which are ever more densely packed with parallel computing cores. Easily seen that traditional buses can not provide enough bandwidth, a revolutionary path to scalability is provided by packet-switched network-on-chips (NoCs), while a more conservative approach dictates the addition of bandwidth-rich components (e.g. crossbars) within the preexisting fabrics. While both alternatives have already been explored, a thorough contrastive analysis is still missing. In this paper, we bring crossbar and NoC designs to the chip layout level in order to highlight the respective strengths and weaknesses in terms of performance, area and power, keeping an eye on future scalability


networks on chips | 2007

NoC Design and Implementation in 65nm Technology

Antonio Pullini; Federico Angiolini; Paolo Meloni; David Atienza; S. Murali; Luigi Raffo; G. De Micheli; Luca Benini

As embedded computing evolves towards ever more powerful architectures, the challenge of properly interconnecting large numbers of on-chip computation blocks is becoming prominent. Networks-on-chip (NoCs) have been proposed as a scalable solution to both physical design issues and increasing bandwidth demands. However, this claim has not been fully validated yet, since the design properties and tradeoffs of NoCs have not been studied in detail below the 100 nm threshold. This work is aimed at shedding light on the opportunities and challenges, both expected and unexpected, of NoC design in nanometer CMOS. We present fully working 65 nm NoC designs, a complete NoC synthesis flow and detailed scalability analysis


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2007

A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for MPSoCs

Federico Angiolini; Paolo Meloni; Salvatore Carta; Luigi Raffo; Luca Benini

The ever-shrinking lithographic technologies available to chip designers enable performance and functionality breakthroughs; yet, they bring new hard problems. For example, multiprocessor systems-on-chip featuring several processing elements can be conceived, but efficiently interconnecting them while keeping the design complexity manageable is a challenge. Traditional buses are easy to deploy, but cannot provide enough bandwidth for such complex systems. A departure from legacy architectures is therefore called for. One radical path is represented by packet-switching networks-on-chip, whereas a more conservative approach interleaves bandwidth-rich components (e.g., crossbars) within the preexisting fabrics. This paper is aimed at analyzing the strengths and weaknesses of these alternative approaches by performing a thorough analysis based on actual chip floorplans after the interconnection place&route stages and after a clock tree has been distributed across the layout. Performance, area, and power results will be discussed while keeping an eye on the scalability prospects in future technology nodes


IEEE Transactions on Very Large Scale Integration Systems | 2007

Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors

S. Murali; David Atienza; Paolo Meloni; Salvatore Carta; L Benini; G. De Micheli; Luigi Raffo

Today, chip multiprocessors (CMPs) that accommodate multiple processor cores on the same chip have become a reality. As the communication complexity of such multicore systems is rapidly increasing, designing an interconnect architecture with predictable behavior is essential for proper system operation. In CMPs, general-purpose processor cores are used to run software tasks of different applications and the communication between the cores cannot be precharacterized. Designing an efficient network-on-chip (NoC)-based interconnect with predictable performance is thus a challenging task. In this paper, we address the important design issue of synthesizing the most power efficient NoC interconnect for CMPs, providing guaranteed optimum throughput and predictable performance for any application to be executed on the CMP. In our synthesis approach, we use accurate delay and power models for the network components (switches and links) that are obtained from layouts of the components using industry standard tools. The synthesis approach utilizes the floorplan knowledge of the NoC to detect timing violations on the NoC links early in the design cycle. This leads to a faster design cycle and quicker design convergence across the high-level synthesis approach and the physical implementation of the design. We validate the design flow predictability of our proposed approach by performing a layout of the NoC synthesized for a 25-core CMP. Our approach maintains the regular and predictable structure of the NoC and is applicable in practice to existing NoC architectures.


IEEE Transactions on Neural Networks | 1998

Analog VLSI circuits as physical structures for perception in early visual tasks

Luigi Raffo; Silvio P. Sabatini; Gian Marco Bo; Giacomo M. Bisio

A variety of computational tasks in early vision can be formulated through lattice networks. The cooperative action of these networks depends on the topology of interconnections, both feedforward and recurrent ones. This paper shows that it is possible to consider a distinct general architectural solution for all recurrent computations of any given order. The Gabor-like impulse response of a second-order network is analyzed in detail, pointing out how a near-optimal filtering behavior in space and frequency domains can be achieved through excitatory/inhibitory interactions without impairing the stability of the system. These architectures can be mapped, very efficiently at transistor level, on very large scale integration (VLSI) structures operating as analog perceptual engines. The problem of hardware implementation of early vision tasks can, indeed, be tackled by combining these perceptual agents through suitable weighted sums. A 17-node analog current-mode VLSI circuit has been implemented on a CMOS 2 microm, NWELL, single-poly, and double-metal technology, to demonstrate the feasibility of the approach. Applications of the perceptual engine to various machine vision algorithms are proposed.


IEEE Transactions on Very Large Scale Integration Systems | 2006

Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips

S. Murali; Paolo Meloni; Federico Angiolini; David Atienza; Salvatore Carta; Luca Benini; G. De Micheli; Luigi Raffo

Networks on chip (NoC) has emerged as the paradigm for designing scalable communication architecture for systems on chips (SoCs). Avoiding the conditions that can lead to deadlocks in the network is critical for using NoCs in real designs. Methods that can lead to deadlock-free operation with minimum power and area overhead are important for designing application-specific NoCs. A major class of deadlocks that occur in NoCs are due to the dependencies among the resources shared by different message types. In this work, we consider the problem of avoiding message-dependent deadlocks during the NoC topology synthesis phase. We show that by considering this issue during topology synthesis, we can obtain a significantly better NoC design than traditional methods, where the deadlock avoidance issue is dealt with separately. Our experiments on several SoC benchmarks show that our proposed scheme provides large reduction in NoC power consumption (an average of 38.5%) and NoC area (an average of 30.7%) when compared to traditional approaches

Collaboration


Dive into the Luigi Raffo's collaboration.

Top Co-Authors

Avatar

Danilo Pani

University of Cagliari

View shared research outputs
Top Co-Authors

Avatar

Paolo Meloni

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Carlo Sau

University of Cagliari

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge