Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark Slezak is active.

Publication


Featured researches published by Mark Slezak.


Advances in Resist Technology and Processing XX | 2003

Rational design in cyclic olefin resists for sub-100-nm lithography

Wenjie Li; Pushkara Rao Varanasi; Margaret C. Lawson; Ranee W. Kwong; Kuang-Jung Chen; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Masafumi Yamamoto; Eiichi Kobayashi; Mark Slezak

In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).


Advances in Resist Technology and Processing XXI | 2004

IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance

Kaushal S. Patel; Margaret C. Lawson; Pushkara Rao Varanasi; David R. Medeiros; Gregory M. Wallraff; Phillip J. Brock; Richard Anthony DiPietro; Yukio Nishimura; Takashi Chiba; Mark Slezak

It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.


26th Annual International Symposium on Microlithography | 2001

193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries

Toru Kajita; Yukio Nishimura; Masafumi Yamamoto; Hiroyuki Ishii; Akimasa Soyano; A. Kataoka; Mark Slezak; Makoto Shimizu; Pushkara Rao Varanasi; G. Jordahamo; Margaret C. Lawson; Rex Chen; William R. Brunsvold; Wenjie Li; Robert D. Allen; Hiroshi Ito; Hoa D. Truong; Thomas I. Wallow

The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.


advanced semiconductor manufacturing conference | 2011

Optimization of pitch-split double patterning phoresist for applications at the 16nm node

Steven J. Holmes; Cherry Tang; Sean D. Burns; Yunpeng Yin; Rex Chen; Chiew-seng Koay; Sumanth Kini; Hideyuki Tomizawa; Shyng-Tsong Chen; Nicolette Fender; Brian P. Osborn; Lovejeet Singh; Karen Petrillo; Guillaume Landie; Scott Halle; Sen Liu; John C. Arnold; Terry A. Spooner; Rao Varanasi; Mark Slezak; Matthew E. Colburn; Shannon Dunn; David Hetzer; Shinichiro Kawakami; Jason Cantone

Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to standard bright field applications.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Proceedings of SPIE | 2009

Utilization of spin-on and reactive ion etch critical dimension shrink with double patterning for 32 nm and beyond contact level interconnects

Karen Petrillo; Dave Horak; Susan Fan; Erin Mclellan; Matt Colburn; Andrew Metz; Shannon W. Dunn; Dave Hetzer; Jason Cantone; Ken-ichi Ueda; Tom Winter; Vaidyanathan Balasubramaniam; Cherry Tang; Mark Slezak

Spin-on chemical shrink, reactive ion etch [RIE] shrink and litho-etch-litho-etch [LELE] double patterning have been utilized to produce dense 90 nm pitch, 26 nm bottom CD contacts starting from 65 nm CD, 126 nm diagonal pitch as printed features. Demonstrated lithographic process window, post etch pattern fidelity, CD, and CD uniformity are all suitable to production. In addition, electrical test results shows a comparable defect a ratio vs. a no chemical shrink baseline.


Proceedings of SPIE | 2008

High refractive index materials design for the next generation ArF immersion lithography

Taiichi Furukawa; Takanori Kishida; Kyouyuu Yasuda; Tsutomu Shimokawa; Zhi Liu; Mark Slezak; Katsuhiko Hieda

High-refractive-index fluids (HIFs) are being considered to replace water as the immersion fluid in next generation 193nm immersion scanner. We have demonstrated the attractive optical properties for our HIF candidates, HIL-001, HIL-203 and HIL-204. Especially, HIL-203 and HIL-204 have higher transmittance compared to water. In this paper, we describe our latest results on the comparative evaluations including photo-degradation behavior and lens contamination phenomenon in a flow system. For laser induced fluid degradation behavior, it was shown the higher initial transmittance resulted in the higher laser durability. However, the complicated phenomenon was observed for the lens contamination test. That is, HIL-204 with higher initial transmittance showed higher lens contamination rate than HIL-203. From several analyses, the complicated behaviors among HILs were speculated to be caused by the different nature of photo-degraded impurities. In order to control the fluid transmittance change and suppress the lens contamination during exposure, the refining process was definitely necessary for HIL reuse system. Based on the refining mechanism and the refining material design, we have developed an appropriate refinement unit named Refine B. This approach provided us with the result that Refine B could control the change of fluid transmittance and suppress the lens contamination rate.


Proceedings of SPIE | 2009

Post-develop blob defect reduction

Masahiko Harumoto; Sei Negoro; Akihiro Hisai; Michio Tanaka; Glen Mori; Mark Slezak

This study reports on blob defect reduction and process impacts by Acid Rinse System. Blob defects that appear after develop are a common problem with i-line, KrF, ArF and ArF-immersion resists. Last year we reported Blob defects were influenced by the develop process and were able to be decreased by improving process. Furthermore we identified blob defects were caused from alkaline developer and could be reduced by neutralizing Acid Rinse. In this work, we designed a novel develop process and system that reduced blob defects. We evaluated this system on the non-topcoat immersion resist. The blob defects on immersion resist were also eliminated by this system but affected by each resist surface condition. We also evaluated the impacts from Acid rinse for some kinds of patterns and resists, because we needed to indentify whether there were negatively process impacts. We reports that Acid Rinse System significantly reduced blob defect counts, and whether influenced other process impacts. Finally we report the mechanism of the blob defects reduction.


Proceedings of SPIE | 2009

Improving the performance of light-sensitive developer-soluble anti-reflective coatings by using adamantyl terpolymers

Jim D. Meador; Joyce Lowes; Charlyn Stroud; Sherilyn Thomas; Yilin Qiu; Ramil-Marcelo L. Mercado; Victor Pham; Mark Slezak

In a search for improved resolution and processing latitude for a family of light-sensitive developer-soluble bottom antireflective coatings (BARCs), the structure of the binder terpolymer was altered by incorporating acid-cleavable adamantyl methacrylates. Contrast curves and 193-nm microlithography were then used as tools in developing a novel developer-soluble adamantyl BARC which does not include a photoacid generator (PAG) or quencher, but instead depends on acid diffusing from the exposed resist for development. This formulation eliminates concern about PAG or quencher leaching out of the BARC during application of the photoresist. Resolution for a resist A and the new BARC was 150-nm L/S (1:1) for both 38-nm and 54- to 55-nm BARC thicknesses. Resolution and line shape were comparable to that of the non-adamantyl control BARC with same resist at 55-nm BARC thickness, with both BARCs giving some undercutting using an AmphibianTM XIS interferometer for the 193-nm exposures. Light-sensitive adamantyl BARCs that do require inclusion of a PAG for optimum lithography with resist A are also described in this paper. The series of developer-soluble adamantyl BARCs were solution and spin-bowl compatible. The 193-nm optical parameters (n and k) for all adamantyl BARCs were 1.7 and 0.5-0.6, respectively.


Proceedings of SPIE | 2009

Engine for characterization of defects, overlay, and critical dimension control for double exposure processes for advanced logic nodes

Steven J. Holmes; Chiew-seng Koay; Karen Petrillo; Kuang-Jung Chen; Matthew E. Colburn; Jason Cantone; Ken-ichi Ueda; Andrew Metz; Shannon W. Dunn; Youri van Dommelen; Michael Crouse; Judy Galloway; Emil Schmitt-Weaver; Aiquin Jiang; Robert Routh; Cherry Tang; Mark Slezak; Sumanth Kini; Tony DiBiase

As our ability to scale lithographic dimensions via reduction of actinic wavelength and increase of numerical aperture (NA) comes to an end, we need to find alternative methods of increasing pattern density. Double-Patterning techniques have attracted widespread interest for enabling further scaling of semiconductor devices. We have developed DE2 (develop/etch/develop/etch) and DETO (Double-Expose-Track-Optimized) methods for producing pitch-split patterns capable of supporting 16 and 11-nm node semiconductor devices. The IBM Alliance has established a DETO baseline in collaboration with KT, TEL, ASML and JSR to evaluate commercially available resist-on-resist systems. In this paper we will describe our automated engine for characterizing defectivity, line width and overlay performance for our DETO process.

Researchain Logo
Decentralizing Knowledge