Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masayuki Kojima is active.

Publication


Featured researches published by Masayuki Kojima.


Journal of Vacuum Science and Technology | 2002

Analysis of SiO2-to-Si3N4 selectivity in reactive ion etching using additional O2 gas

Miyako Matsui; Fumihiko Uchida; Masayuki Kojima; Takafumi Tokunaga; Fumiko Yano; Masaki Hasegawa

The mechanism for control of the Si3N4 etch rate in a self-aligned contact process when C4F8/Ar/O2 gas is used was investigated. The Si3N4 surfaces after dry etching were analyzed by x-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy. After dry etching, a polymer-like residue film less than 15 nm thick and an oxidized layer between the polymer layer and the Si3N4 surface were observed. In the CF polymer layer, the etching product of CN or CNO was characterized. CN or CNO components are widely distributed in the CF polymer layer, while the SiO2 layer is more localized on the Si3N4 surfaces. We found that the thicknesses of the CF polymer and SiO2 layer strongly depend on the Si3N4 etch rate, which is determined by the flow rate of the additional O2 gas. The thickness of the CF polymer, which was decreased from 10.1 to 4.1 nm, is considered to act as an inhibitor. The thickness of the SiO2 layer, which is easy to etch, was increased from 1.4 to 2.7 nm. The CF polymer thickne...


Journal of Vacuum Science and Technology | 1998

NEAR-SURFACE INTERACTIONS AND THEIR ETCHING-REACTION MODEL IN METAL PLASMA-ASSISTED ETCHING

Shinichi Tachi; Masaru Izawa; Kazunori Tsujimoto; Tokuo Kure; Naoyuki Kofuji; Keizo Suzuki; Ryoji Hamasaki; Masayuki Kojima

Reactive interactions in plasma etching have been investigated. Simple gas-phase transport of etchants and the reaction by-products in the wafer near-surface area are discussed. A new reincidence parameter, determined with a proposed near-surface model, was used to formulate metal etch rates. The experimental results obtained from an electron cyclotron resonance microwave plasma etching system revealed that the measured etching rate agreed well with those obtained by the near-surface model. It was found that reaction by-products repeatedly arrived at the surface depending on the reincidence numbers for the metal etching. The reincidence is the result of the diffusional transport in the vicinity of the wafer and is given by the expression {(one-half of the wafer radius)/(mean-free path)}. The ratio of the by-product flux is expressed by the product of the etching-rate flux times the reincidence number. Then, the resulting ratio of the reaction products in the flux becomes very high when we compare it to th...


Japanese Journal of Applied Physics | 2009

Anomalous Nickel Silicide Encroachment in n-Channel Metal–Oxide–Semiconductor Field-Effect Transitors on Si(110) Substrates and Its Suppression by Si+ Ion-Implantation Technique

Tadashi Yamaguchi; Keiichiro Kashihara; Shuichi Kudo; Tomonori Okudaira; Toshiaki Tsutsumi; Kazuyoshi Maekawa; Koyu Asai; Masayuki Kojima

A novel low-leakage-current nickel self-aligned-silicide (SALICIDE) process in n-channel metal–oxide–semiconductor field-effect transistors (nMOSFETs) on Si(110) substrates is reported. Anomalous nickel silicide encroachment in the direction in nMOSFETs on Si(110) substrates is found for the first time. This encroachment causes anomalous off-state leakage current (Ioff) in nMOSFETs on Si(110) substrates. In particular, in the case of the channel on Si(110) substrates, Ni atoms easily diffuse in the direction, and nickel silicide preferentially grows in the direction. As a result, anomalous leakage current between the drain and the source occurs, and the leakage current seriously degrades transistor performance. In order to overcome these problems, we propose a method of suppressing anomalous Ioff on Si(110) substrates by Si+ ion-implantation technique prior to the nickel SALICIDE process. This method is effective for suppressing the encroachment of nickel silicide and realizing low-leakage complementary metal–oxide–semiconductor (CMOS) devices on Si(110) substrates.


Japanese Journal of Applied Physics | 1998

In-situ After-treatment Using Low-energy Dry-etching with a CF4/O2 Gas Mixture to Remove Reactive Ion Etching Damage

Miyako Matsui; Fumihiko Uchida; Masayuki Kojima; Takafumi Tokunaga; Kazuo Yamazaki; Kiyomi Katsuyama; Hiromasa Arai

We have investigated a new method of in-situ after-treatment that precisely and anisotropically removes dry-etching damage induced by reactive-ion etching (RIE) of SiO2, especially in self-aligned contact (SAC) processing, through, low-energy etching in the dry-etching chamber after the RIE. This in-situ after-treatment with a CF4/Ar gas mixture and with a CF4/O2 gas mixture were examined. The after-treatment with the CF4/O2 gas almost completely removed both the chemical damage and the crystalline damage. On the other hand, although RIE damage could be reduced by after-treatment with the CF4/Ar gas mixture, severe crystal damage remained. The after-treatment with the CF4/O2 gas mixture could precisely remove the surface damage and allowed us to control the surface roughness during removal of RIE damage.


Japanese Journal of Applied Physics | 2008

Highly Reliable Cu Interconnect Using Low-Hydrogen Silicon Nitride Film Deposited at Low Temperature as Cu-Diffusion Barrier

Tatsunori Murata; Kazushi Kono; Yoshikazu Tsunemine; Masahiko Fujisawa; Masazumi Matsuura; Koyu Asai; Masayuki Kojima

We demonstrated highly reliable Cu interconnects using a high-quality silicon nitride film grown at temperatures below 300 °C. The low-temperature silicon nitride (LT-SiN) film, which was used as a Cu-diffusion barrier layer and a final passivation layer, was deposited at 275 °C by plasma-enhanced chemical vapor deposition at a low SiH4 flow ratio. The low SiH4 flow ratio was due to the use of a highly dilute nitrogen flow, leading to the generation of many nitrogen radicals or ions in the plasma. These radicals or ions might reduce the hydrogen concentration and defect density of the film. As a result, a stoichiometric silicon nitride (Si3N4) film with a low hydrogen concentration was successfully obtained. By applying this LT-SiN film in 130-nm-node Cu interconnects for magnetoresistive random access memory, highly reliable via-hole electromigration (Via-EM) and line-to-line time-dependent dielectric breakdown (TDDB) characteristics were obtained.


Japanese Journal of Applied Physics | 2008

Novel Contact-Plug Process with Low-Resistance Nucleation Layer Using Diborane-Reduction Tungsten Atomic-Layer-Deposition Method for 32 nm Complementary Metal-Oxide-Semiconductor Devices and Beyond

Akie Yutani; Kazuhito Ichinose; Kazuyoshi Maekawa; Koyu Asai; Masayuki Kojima

The effect of employing diborane (B2H6) instead of silane (SiH4) in a tungsten (W) atomic layer deposition (ALD) nucleation layer on contact resistance is studied. A low resistance of 50–70 Ω in a 32-nm-node contact with a diameter of about 50 nm is achieved with optimized barrier and nucleation processes. This result indicates that the resistance required for 32 nm complementary metal–oxide–semiconductor (CMOS) devices can successfully be satisfied without employing a copper plug process. It is revealed that a W film with a B2H6-reduced nucleation layer has a larger grain size and a lower fluorine concentration in nucleation W and barrier metal layers, resulting in a lower resistivity than that with a SiH4-reduced nucleation W layer. On the other hand, the B2H6-reduced nucleation process still shows a poorer crystallization and a higher fluorine concentration in the filling W layer than the SiH4-reduced nucleation process. We revealed that a further decrease in the resistivity of a W film with the B2H6 nucleation process is achieved by improving these issues.


Japanese Journal of Applied Physics | 1998

LOW-ENERGY ION SCATTERING MEASUREMENT OF NEAR-SURFACE DAMAGE INDUCED BY THE SIO2 DRY-ETCHING PROCESS

Miyako Matsui; Fumihiko Uchida; Kiyomi Katsuyama; Takafumi Tokunaga; Masayuki Kojima

Si(100) surface crystallinity after dry etching was measured using low-energy ion scattering spectroscopy (LEIS). We used 4-keV He+ ions as the incident beam, and neutral particles back-scattered at 180° were detected. A heavily damaged layer was observed immediately after reactive ion etching. After the heavily damaged layer was removed using a post-etch treatment, channeling and focusing effects were observed. The presence of a modified layer was confirmed even after the suboxide-rich layers were removed, because the channeling and focusing effects were slightly weaker than those of the surface without dry etching.


Japanese Journal of Applied Physics | 2009

Effect of NH3-Free Silicon Nitride for Protection Layer of Magnetic Tunnel Junction on Magnetic Properties of Magnetoresistive Random Access Memory

Tatsunori Murata; Yoshihiro Miyagawa; Ryuichiro Isaki; Toshinori Shibata; Ryoji Matsuda; Mikio Tsujiuchi; Yosuke Takeuchi; Shuichi Ueno; Masazumi Matsuura; Koyu Asai; Masayuki Kojima

The effects of plasma and precursors during low-temperature silicon nitride (LT-SiN) film deposition on the magnetic properties of a CoFeB alloy layer, which is one magnetic material in a magnetic tunnel junction (MTJ) in magnetoresistive random access memory (MRAM), were investigated. The NH3 plasma exposure was found to nitride the CoFeB alloy layer, resulting in degradation of the magnetic properties of the CoFeB alloy layer. To suppress this degradation, NH3-free LT-SiN films deposited using silane and nitrogen source gases with helium or argon dilution in a conventional plasma enhanced chemical vapor deposition (PECVD) apparatus were evaluated. The LT-SiN film deposited under conditions of a highly dilute helium flow in the SiH4–N2–He gas mixture exhibited high density, sufficient moisture-blocking ability, and low leakage current. On the other hand, the film deposited at the SiH4–N2–Ar gas mixture exhibited poor film qualities. It is revealed that helium gas has enhanced the generation of N2 radicals and the decomposition of silane gas during the deposition of the SiH4–N2–He gas mixture. Finally, we demonstrated that the electrical properties of 8-kbit MRAM arrays have been improved by using the optimized NH3-free LT-SiN film for the MTJ-protection layer.


Japanese Journal of Applied Physics | 1969

The Ion-Induced Emission Electron Microscope and an Image Contrast Due to Specimen Contamination

Yoshiki Uchikawa; Masayuki Kojima; Mikio Ichihashi; Susumu Maruse

The ion-induced emission electron microscope is constructed, for which an ion source making use of high frequency gas discharge is developed. This ion source – compared with the conventional one of d.c. discharge–affords a stable, and finely focused ion beam with a high density (3 mA/cm2), besides facilitates regulation of the extracted ion current. In this emission electron microscope an improved image contrast due to selective contamination is observed on a carbon steel surface. The contrast is attributed to the rate of contamination growth on the specimen surface at its early stage. The rate is found to depend on the kind of bombarding ions and the crystallographical properties of the surface.


Japanese Journal of Applied Physics | 1998

Using Auger Electron Spectroscopy for Chemical Analysis of Plasma Damage Induced by Reactive Ion Etching of SiO2

Miyako Matsui; Fumihiko Uchida; Kiyomi Katsuyama; Takafumi Tokunaga; Masayuki Kojima

Surface damage induced by reactive ion etching (RIE) at the bottom of the pattern was investigated in terms of chemical information by Auger electron spectroscopy (AES). The Si-L23VV line shape was changed during the removal of the damage by chemical dry etching after RIE. The relationship between the changing of the Si-L23VV line shape and the chemically damaged layer, which contains SiOx and SiC, was investigated by X-ray photoelectron spectroscopy (XPS) and AES for nonpatterned wafers. The height of the peaks at 90 eV and 79 eV in the Si-L23VV spectra were correlated with the amounts of chemical damage in the layer measured by XPS. The thickness of the residual SiOx damage was estimated from the relationship between the Si-L23VV line shape and the thickness of the SiOx layer. This relationship was applied to the chemical analysis for patterned wafers. The line shape of the Si-L23VV spectrum from the bottom of the pattern was also changed as the chemical-dry-etching time increased.

Collaboration


Dive into the Masayuki Kojima's collaboration.

Researchain Logo
Decentralizing Knowledge