Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Matthias Schaller is active.

Publication


Featured researches published by Matthias Schaller.


Journal of Vacuum Science & Technology B | 2009

Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low-κ SiOCH dielectric using chemometric methods

Thomas Oszinda; Volkhard Beyer; Matthias Schaller; Daniel Fischer; Christin Bartsch; Stefan E. Schulz

The structural changes due to post-ash and post-ash treatments on chemical vapor deposited ultra-low-κ (ULK) SiOCH dielectric films were studied by Auger electron spectroscopy (AES) and Fourier transform infrared spectroscopy (FTIR). Changes in the ULK layer with respect to the carbon content were analyzed. For the application of different plasma gases for photoresist removal and further post-clean and anneal treatments first a reduction of carbon was observed. Using AES it was found that the carbon was removed up to ∼140nm. Accompanied with the carbon loss a modification of chemical bonds was observed with FTIR, whereas the analysis of FTIR spectra was improved by means of chemometric methods. A principle component analysis was applied for qualitative analysis, which focuses on changes of infrared vibration peaks. This provides a fast assessment of chemical bond modifications. A partial least square regression was used to correlate the carbon loss with the infrared spectra. It is shown that the regressio...


international interconnect technology conference | 2008

Integration Aspects of CoWP Capping Layers for Electromigration Enhancement

A. Preusse; R. Seidel; O. Aubel; M. Nopper; B. Freudenberg; Matthias Schaller; M. Fecher; T. Letz; C. Bartsch; A. Ott; M. Friedemann; Frank Feustel; Moritz Andreas Meyer; P. Limbecker

CoWP metal caps have been integrated into 65nm- and 45nm-node copper interconnects. A number of CoWP specific integration aspects have been investigated. Electrical performance, reliability and yield potential were characterized on a statistical base. Substantial progress has been made to suppress the CoWP related time-depended dielectric breakdown (TDDB) degradation making CoWP the option to solve the electromigration (EM) challenge for 32nm and beyond.


international interconnect technology conference | 2011

Electrical property improvements of ultra low-k ILD using a silylation process feasible for process integration.

Oszinda Thomas; Matthias Schaller; Lukas Gerlich; Daniel Fischer; Susanne Leppack; Christin Bartsch; Stefan E. Schulz

In this paper the effect of a vapor phase based silylation process on patterned test structures using ULK based ILDs was investigated. It was found that the resistance to capacitance (RC) behavior can be improved. This improvement was found to be scalable, meaning with decreasing metal pitch the RC improvement increases. The silylation process provides in addition a decrease of the leakage current and was found to have adequate defectivity. As the process is feasible for production and the improvement of the electrical properties increases with smaller feature size, it can be assumed that extra costs of the restoration process will be paid out for future technology nodes, if ULK as an ILD is used.


Solid State Phenomena | 2009

Surface Energy and Wetting Behaviour of Plasma Etched Porous SiCOH Surfaces and Plasma Etch Residue Cleaning Solutions

Nicole Ahner; Matthias Schaller; Christin Bartsch; Eugene C. Baryschpolec; Stefan E. Schulz

The removal of plasma etch residues by wet cleaning is an alternative or additional process to plasma processes, which are known to degrade low-k and ultralow-k dielectric materials. Besides Cu/low-k compatibility wetting is an important issue for wet cleaning. Surface energy of solid and liquid is the key to understand the wetting behaviour. In this study we examined the energetic character of plasma etched/stripped solid surfaces, etch polymers and several cleaning solutions by contact angle measurements. The results show, that variations of the etching process can heavily change the energetic character of the solid. Calculating the surface energies of solid and liquid provides the possibility to make a prediction if a cleaning liquid will wet the surface which has to be cleaned.


Solid State Phenomena | 2012

Optimized Wetting Behavior of Water-Based Cleaning Solutions for Plasma Etch Residue Removal by Application of Surfactants

Nicole Ahner; Sven Zimmermann; Matthias Schaller; Stefan E. Schulz

Wet chemical plasma etch residue removal is a promising alternative to low-k dielectric degrading plasma cleaning processes. With decreasing feature dimensions the wetting behavior of the liquid on low energetic surfaces present after dielectric patterning will be an important issue in developing wet cleaning solutions. High surface energy liquids may not only be unable to wet low energetic surfaces, but can also cause nonwetting of small structures or pattern collapse. The improvement of the wetting behavior of a cleaning liquid by lowering its surface energy by the addition of surfactants is the strategy followed in this study. We show that with choosing the appropriate rinsing solution a wet chemical process using surfactant aided cleaning solutions compatible to the materials used in BEOL (porous low-k, copper, barriers) can be found. The results show a distinct improvement of the wetting behavior of the modified solutions on several low energetic solid surfaces like copper or polymers deposited during dry etching.


international interconnect technology conference | 2009

Characterization of plasma damaged porous ULK SiCOH layers in aspect of changes in the diffusion behavior of solvents and repair-chemicals

Thomas Oszinda; Matthias Schaller; Daniel Fischer; Stefan E. Schulz

The diffusion behavior of different solvents and repair chemicals in a porous SiCOH with pores of ∼ 1,5 nm was studied. It was found for molecule with a size ≤ 1/3 of the pore size the diffusion coefficient (De) depends mainly on the size of the molecule, while a size ≫ 1/3 of the pore size does not show a linear dependency of De on the molecules size. In this regime De is mainly a function of the surface diffusion which depends on the surface energies of the solid and the liquid and adsorption effects. This study show that the porosity and the surface energies influencing the diffusion need to study in order to perform satisfactory cleaning and repair process for ULK dielectric layers.


Solid State Phenomena | 2012

Determination of Surface Energy Characteristics of Plasma Processed Ultra Low-K Dielectrics for Optimized Wetting in Wet Chemical Plasma Etch Residue Removal

Nicole Ahner; Sven Zimmermann; Matthias Schaller; Stefan E. Schulz

The integration of porous ultra low dielectric constant materials (ULK) for isolation within the interconnect system of integrated circuits is a promising approach to reduce RC-delays and crosstalk due to shrinking feature sizes [1]. Actually the focus is on porous CVD-SiCOH materials, which consist of a Si-O-Si backbone and organic species (e.g. CH3) to lower polarizability and prevent moisture uptake to remarkably decrease the k-value [2]. The integration of porous low-k materials is very challenging, especially looking at patterning, resist stripping and etch residue removal, where commonly plasma processing has been applied. But plasma processing of ULK materials, especially using oxygen plasmas, is known to degrade electrical, optical and structural material properties by removing carbon from the film and densification of the surface near areas of the ULK [5]. Carbon depletion may also lead to the incorporation of-OH groups, which easily form silanols and therefore increase moisture absorption and k-values [2]. Besides the development of nondamaging plasma processes, wet cleaning is a promising alternative to avoid ULK damage while removing organic plasma etch residues. Additionally wet cleaning steps are always necessary to remove inorganic residues, which do not form volatile reaction products and can therefore not be removed by plasma processing.


Solid State Phenomena | 2012

Aqueous Fluoride Residue Removers for 32 nm and beyond Copper Ultra Low-κ Technologies

Glen Westwood; Anatolio Pigliucci; Thomas Oszinda; Susanne Leppack; Matthias Schaller

Mallinckrodt Baker, Inc. (MBI) has developed an aqueous fluoride-based cleaner (AFC1) that shows improved ultra-low k (ULK) and cobalt tungsten phosphide (CoWP) compatibility over dilute hydrofluoric acid (dHF) and a simple solvent based cleaner (SFC1). Performance and compatibility testing was performed with beaker tests at MBI and on 45 nm wafers by GLOBALFOUNDRIES. Our results indicate that AFC1 may be a good alternative to dHF for future Cu technologies.


Archive | 2005

Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress

Kai Frohberg; Matthias Schaller; Massud Aminpur


Archive | 2005

Technique for controlling mechanical stress in a channel region by spacer removal

Kai Frohberg; Matthias Schaller; Massud Aminpur; Martin Mazur; Roberto Klingler

Collaboration


Dive into the Matthias Schaller's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Heike Salz

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Stefan E. Schulz

Chemnitz University of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge