Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Namsung Kim is active.

Publication


Featured researches published by Namsung Kim.


symposium on vlsi technology | 2016

Ultra-low NMOS contact resistivity using a novel plasma-based DSS implant and laser anneal for post 7 nm nodes

Chi-Nung Ni; K.V. Rao; Fareen Adeni Khaja; Shashank Sharma; S. Tang; J. J. Chen; Kelly E Hollar; N. Breil; Xuebin Li; Miao Jin; Christopher Lazik; J. Y. Lee; H. Maynard; Naushad Variam; Abhilash J. Mayur; S. Kim; Hua Chung; Michael Chudzik; Raymond Hung; Naomi Yoshida; Namsung Kim

We report a record-setting low NMOS contact resistivity of 1.2×10<sup>-9</sup> Ωcm<sup>2</sup> compatible with Ti/Si system and dopant segregation Schottky (DSS) based solution. The ultra-low contact resistivity of Ti/Si system is demonstrated with Highly Doped Si:P Epi layer and P implantation using conformal plasma implant followed by millisecond laser anneal. Additionally, we show that short-pulse nanosecond laser as post implant anneal provides a promising pathway to further improve NMOS ρ<sub>C</sub> to below 1×10<sup>-9</sup> Ωcm<sup>2</sup> for the post 7 nm nodes.


symposium on vlsi technology | 2016

PMOS contact resistance solution compatible to CMOS integration for 7 nm node and beyond

Chi-Nung Ni; Yi-Chiau Huang; S. Jun; Shiyu Sun; A. Vyas; Fareen Adeni Khaja; K.V. Rao; Shashank Sharma; N. Breil; Miao Jin; Christopher Lazik; Abhilash J. Mayur; J. Gelatos; Hua Chung; Raymond Hung; Michael Chudzik; Naomi Yoshida; Namsung Kim

We report a PMOS contact resistivity (pc) improvement strategy by forming Ge-rich contact interface which is compatible to Ti/Si(Ge) system and CMOS integration flow. Short pulsed (nsec) laser anneal and advanced treatment during pre-clean have shown to be effective to segregate Ge towards SiGe surface resulting in PMOS ρc improvement. With Ge% increasing from 45 to 100%, pc improved three-fold, from 1.2e-8 to 2.8e-9 Ωcm2, due to bandgap modulation and preferred Fermi-level pinning [1]. In the end, we propose a CMOS-integration-compatible contact flow which addresses ρc optimization for both PMOS and NMOS contact.


international electron devices meeting | 2001

Real impact of W/WNx/Poly-Si gate stack in volume production of high density DRAM

Il-Gweon Kim; Namsung Kim; Jun-Ho Choy; Byung-Hak Lee; Yong-Gue Sung; Jong-Hwan Kim; Jin-Hee Cho; Dongchan Kim; Kee-Soo Kim; Jo-Bong Choi; Se-Kyoung Choi; Young-Woo Kweon; Hoyup Kwon; Dae-Guy Park; Joo-Seog Park; Dae-Young Park

We have investigated the feasibility for volume production of high density DRAMs employing a polymetal gate stack(W/WNx/Poly-Si). Especially, based on the fully matured production level, DRAM devices gated with polymetal stack were directly compared to those with conventional polycide stack (WSix/Poly-Si) with respect to the impact of gate etch post-cleaning and selective oxidation. Also, we proposed the best solution to overcome the critical issues related to tungsten (W) process, still keeping comparable product performance to conventional polycide gate stack.


international workshop on junction technology | 2017

Ultra-low (1.2×10 −9 Ωcm 2 ) p-Si 0.55 Ge 0.45 contact resistivity (ρ c ) using nanosecond laser anneal for 7nm nodes and beyond

Chih-Yang Chang; Fareen Adeni Khaja; Kelly E Hollar; K. V. Rao; Christopher Lazik; Miao Jin; Hongwen Zhou; Raymond Hung; Yi-Chiau Huang; Hua Chung; Abhilash J. Mayur; Namsung Kim

The recent FinFET scaling for 10–7nm node has resulted in significantly reduced contact areas for source/drain regions, leading to high contact resistance (Rc) [1-3]. Hence, it has become extremely critical to reduce the contact resistivity (ρ<inf>c</inf>) to < 1×10<sup>−9</sup>Ω.cm<sup>2</sup>. ρ<inf>c</inf> can be reduced by increasing the dopant concentration at the metal/semiconductor interface and by lowering the barrier height [4]. Several studies have reported improvements in NMOS ρ<inf>c</inf> for Ti-based contacts using highly doped Si:P epi and advanced implant and activation techniques [5, 6]. For TiSi<inf>2</inf> based contacts, the Schottky barrier height (SBH) for n-ype silicon is low; however, it is slightly higher for p type SiGe. Thus, there is a strong requirement to improve the PMOS ρ<inf>c</inf> when Ti based contacts are used for both NMOS and PMOS.


The Japan Society of Applied Physics | 2002

Suppression of Short Channel Hump of nMOSFET Using NF3-Added ILD HDP Process

Joo-Seog Park; Se-Kyung Choi; Myung-Jong Bong; Seok-Chul Chung; Hyuck-Chai Jung; Namsung Kim; Tae-Un Youn; Young-Woo Kwon; Il-Gweon Kim

Introduction As application of battery-operated machines such as handheld computer and PDAs, demands of low power DRAMs gradually show upward curye. To extend battery lifetime, subthreshold property of peripheral MOSFET is determinant factor to reduce stand-by power consumption. Although STI (Shallow Trench Isolation) process has been widely used in high density DRAM integration due to its superior isolation property, it has suffered from short channel hump of nMOSFET. Previous papers revealed that short channel hump of TMOSFET was mainly attributed to STI corner round. Also, recent literature reports that short channel hump of nMOSFET is closely related to ILD(Inter Layer Dielectric) layers. However, this is not enough to fully explain its phenomena. In this paper, its relationship of short charurel hump with ILD HDP layer is insensibly investigated and NFr-added ILD HDP process is proposed to maintain both hump-free nMOSFET and high transistor performance, for the first time.


The Japan Society of Applied Physics | 2001

Effect of Poly Metal Gate Etch Post-Cleaning on the Tail Distribution of DRAM Data Retention Time

Namsung Kim; Il-Gweon Kim; Jun-Ho Choy; Se-Kyeong Choi; Jo-Bong Choi; Young-Woo Kweon; Sung-Cheul Kim; Ju-Seok Park; Ji-Bum Kim

Introduction As the memory density in DRAM increases, the resistance of a word line significantly increases and the gate RC delay is placing serious limitations on device performance. The use of metal gate is one of the solutions to this problem. Tungsten has been widely studied as the gate material among many other choices, due to its low resistivity and thermal stability[1-2]. However, the process incompatibility with respect to the conventional polycide gate process has been giving rise to the issue of data retention time in DRAM cells among many other device characteristics. For example, the conventional polycide gate etch post-cleaning condition (the chemical containing HrOr) followed by the metal gate patterning could not be used because it corrodes the metal layer. The cleaning process after gate etching is a necessity for effectively removing unwanted byproducts generated by gate etch process. Therefore, optimizing the cleaning condition in the poly metal gate is an important subject, associated with data retention time as well as cell transistor characteristics such as threshold voltage shift and hot carrier degradation. In this paper, we have investigated, for the first time, the effect of post-cleaning process after gate pafferning on the tail distribution of data retention time in DRAM cells having poly metal (WW-N/poly-Si) gate. And also, we propose the optimized gate etch post-cleaning condition in poly metal gate device to guarantee the characteristics of DRAM data retention time comparable to that of the conventional polycide gate etch postcleaning process.


symposium on vlsi technology | 2000

Improvement of the tail component in retention time distribution using buffered n-implantation with tilt and rotation (BNITR) for 0.2 um DRAM cell and beyond

Il-Gweon Kim; Namsung Kim; Hyuck-Chai Jung; Hoyup Kwon; Seung-Han Ok; Jongmin Kim; Pilbo Sim; Joo-Seog Park; Dae-Young Park; Sungho Jang

The novel junction process scheme in DRAM memory cell with 0.2 um design rule and STI (Shallow Trench Isolation) has been investigated to improve the tail component of DRAM retention time distribution. In this paper, we propose BNITR (Buffered N-Implantation with Tilt and Rotation) process scheme that is designed on the basis of the local field-enhancement model of the tail component and report an excellent improvement effect in tail distribution of retention time without device degradation.


Archive | 2017

Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications

Bingxi Wood; Michael G. Ward; Shiyu Sun; Michael Chudzik; Namsung Kim; Hua Chung; Yi-Chiau Huang; Chentsau Ying; Ying Zhang; Chi-nung Ni; Lin Dong; Dongqing Yang


international electron devices meeting | 2017

Vertically stacked gate-all-around Si nanowire transistors: Key Process Optimizations and Ring Oscillator Demonstration

Hans Mertens; Romain Ritzenthaler; V. Pena; G. Santoro; K. Kenis; Andreas Schulze; E. D. Litta; Soon Aik Chew; K. Devriendt; r. Chiarella; Steven Demuynck; D. Yakimets; D. Jang; Alessio Spessot; Geert Eneman; Anish Dangol; P. Lagrain; Hugo Bender; S. Sun; M. Korolik; D. Kioussis; M. Kim; K-.H. Bu; S. C. Chen; M. Cogorno; J. Devrajan; J. Machillot; Naomi Yoshida; Namsung Kim; K. Barla


symposium on vlsi technology | 2018

Novel solutions to enable contact resistivity <1E-9 Ω-cm2 for 5nm node and beyond

Raymond Hung; Fareen Adeni Khaja; Kelly E Hollar; K. V. Rao; Samuel Swaroop Munnangi; Yongmei Chen; Motoya Okazaki; Yi-Chiau Huang; Xuebin Li; Hua Chung; Osbert Chan; Christopher Lazik; Miao Jin; Hongwen Zhou; Abhilash J. Mayur; Namsung Kim; Ellie Yieh

Collaboration


Dive into the Namsung Kim's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge