Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Peter Zandbergen is active.

Publication


Featured researches published by Peter Zandbergen.


Microelectronic Engineering | 1994

Evaluation of a positive tone chemically amplified deep UV resist for E-beam applications

Peter Zandbergen; Han J. Dijkstra

Abstract Chemically amplified positive tone deep UV resist SUCCESS DP2503.ST2 of BASF was evaluated for E-beam applicability. Process optimisation and tests have been focussed on imaging capabilities and delay time effects. While for some other chemically amplified positive tone resists the interval time between exposure and post exposure bake shows influence on resist performance, for SUCCESS.ST2 resist only very limited influence on linewidths and profiles is observed. After process optimisation, a well controlled E-beam resist process has been obtained, with a sensitivity of 17 μC/cm 2 at 50 keV for line and space patterns. 100 nm holes in 0.3 μm resist illustrate the high resolution capability of SUCCESS.ST2 resist.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Compensation of high-NA mask topography effects by using object modified Kirchhoff model for 65 and 45nm nodes

Yuri Aksenov; Peter Zandbergen; Masaki Yoshizawa

ArF immersion lithography has opened the road towards increased optical resolution at the 193nm wavelength. Consequently, keeping the same 4X optical demagnification factor, the dimensions on the mask scale down to sub-wavelength values when we enter the 45nm node. At such dimensions, mask topography, mask type and materials as well as the polarization state of the light will influence the diffraction spectrum of a layout. As a result the image from high NA lithographic systems depends on the polarization state and intensities of the interfering orders. In general, with smaller features on the mask stronger polarization changes occur. Apart from the polarization changes in diffraction orders the total intensity in a diffraction order is also different from that predicted by standard scalar-Kirchhoff diffraction approximation used in present OPC packages. The difference in intensities of diffraction orders due to different mask materials and topography is the more dominating factor leading to through pitch CD errors when the scalar-Kirchhoff model is used for layout adjustment. Based on findings and classification of topography induced effects, a deviation-driver from scalar diffraction model was identified. This paper discusses a solution to compensate for topography effects while using the scalar diffraction model for reticle treatment. The area of applicability of such a scalar model, its advantages and limitations are illustrated with simulations and experiments.


IEEE Transactions on Semiconductor Manufacturing | 2005

Process extensions in optical lithography enabling 45-nm technologies

Peter Zandbergen; D. Van Steenwinckel; Jeroen Herman Lammers; Hans Kwinten; Casper A. H. Juffermans

This work describes approaches in the field of process extensions, complementary to the more traditional optical extension techniques, to enable the extension of optical lithography to 45-nm technologies.


Microelectronic Engineering | 1995

High aspect ratio resist structures by E-beam overexposure

Henk de Koning; Peter Zandbergen; Martin J. Verheijen; Udo Klaus Paul Biermann

With E-beam exposures, the resolution limits of structures in resists are usually determined by electron scattering through resist and substrate, limiting the aspect ratios. The experimental results presented in this paper indicate, that when AZ-PF514 E-beam resist is exposed with high doses, it changes its behaviour from positive tone to negative tone. As it seems, mainly the primary beam is then responsible for resist patterning. As a result, high aspect ratio resist structures have been fabricated, with widths down to 70 nm and heights up to 3 @mm. The resist structures fabricated in this manner show good behaviour in terms of plasma etching stability and can also be applied for replication purposes. The structures can easily be made with both positive and negative resist slopes, well suited for lift-off techniques. With this technique well defined 3-D resist structures were obtained.


Optical Microlithography XVIII | 2005

Influence of mask induced polarization effects on a pattern printability

Yuri Aksenov; Peter Dirksen; Xiuhong Wei; Peter Zandbergen

Through ArF immersion lithography a road towards increased optical resolution at the 193nm wavelength has been opened. According to recently proposed roadmaps, ArF immersion lithography will be used for 65nm and 45nm technology nodes. Consequently, keeping the same 4x optical demagnification factor, the dimensions on mask scale down to wavelength values when entering these nodes. Moreover CD control becomes tighter and approaches values of 2-3nm. At such conditions, topography on mask, its type and materials cannot be ignored anymore while evaluating image formation either for design analysis or OPC adjustments. The objective of this paper is to analyze the influence of mask topography on imaging. The mask topography influences polarization state and diffraction efficiencies, which are determine further image formation. Therefore these parameters and their dependence on mask type, materials and pitches are of the major concern during the analyses. We analyze the process latitude and CD variations through pitch. The complete rigorous analysis shows improved process windows with the increase of feature aspect ratio and at the same time a large through pitch CD deviation compared to the conventional Kirchhoff diffraction model.


Microelectronic Engineering | 1997

Influence of resist process on proximity bias

Wendy F.J. Gehoel-van Ansem; Peter Zandbergen; Casper A. H. Juffermans

Abstract When linewidths in optical lithography are reduced, proximity bias, defined here as isolated to dense linewidth offsets, can consume large parts of the CD budget. This paper describes the resist thickness influence on proximity bias. If reflective substrates are used, proximity bias shows a cyclic behaviour. This can be seen as secondary swing caused by the spatial location of the remnant standing wave which results in photo active compound (PAC) concentration differences near the surface after diffusion. PAC acts as a dissolution barrier and influences the CD, resulting in different proximity biases for different resist thicknesses. When low-reflective substrates are used, as is the case with bottom anti-reflective coatings (BARCs), standing waves are less pronounced. On BARC substrates, a constant proximity bias was found, both in i-line and deep UV.


Advances in resist technology and processing. Conference | 2005

Anti-bubble topcoat for immersion lithography

Laurent Marinier; Yuri Aksenov; Rob Morton; David Van Steenwinckel; Peter Zandbergen

Assessment for introduction of immersion lithography into volume manufacturing has recently started, where one of the key focus areas includes defectivity. Particularly, the possible presence of bubbles in the immersion liquid could act as a defect source. The impact of bubbles strongly depends on their size and distance from the resist. This paper shows that a thick topcoat acts as a pellicle and suppresses the printability of the bubbles. A 1.5 μm thick topcoat has been developed especially for this purpose. A model experiment has been set to validate this approach and leads to a conclusion on the printability of defects depending on their size and distance from the resist. Both simulation and results from the model experiment are shown. In addition, a new method to detect very small bubbles will be introduced.


Advances in resist technology and processing. Conference | 1997

Characterization and optimization of positive-tone DUV resists on TiN substrates

Peter Zandbergen; Wendy F.J. Gehoel-van Ansem; Geert Vandenberghe; Veerle Van Driessche; Hans Vloeberghs

Chemically amplified resists are notoriously sensitive to substrate contaminations. Such substrates include Si3N4, SOG, SiO2 and TiN. Contaminations can result in deactivation of the acid catalyst, leading to resist footing for positive tone deep UV resists. In this paper we have tested several state of the art deep UV resists on TiN. Through cross-sectional inspections, it was seen that several of the most advanced resists available still suffer from footing on TiN. By varying the process parameters of the TiN PVD process, TiN layers with various ratios of Ti:N were obtained. Variations in TiN composition result in changes of deactivation of acid catalyst. In addition, optical properties of the TiN layer are changed as well, resulting in different resist performances. For resists suffering from footing on TiN, it was demonstrated that footing is related to the nitrogen saturation of the TiN layer. However, for ARCH2 resist series, no resist footing was seen on different TiN layers. For the optimization of deep UV patterning of 0.25 micrometers CMOS metal layers using standard TiN layers, we have tested several resists of the ARCH2 resist series. The series of resists are based on the same resist chemistry. The difference between the formulations is in their absorbance, being 0.21/micrometer, 0.28/micrometer and 0.44/micrometer for ARCH214, ARCH212, and ARCH200, respectively. It was seen that with transparent resists notching can occur due to substrate roughnesses. By increasing the resist thickness and/or the resist absorbance, notching was minimized.


23rd Annual International Symposium on Microlithography | 1998

Proximity bias swing: origin and characterization

Peter Zandbergen; Wendy F.J. Gehoel-van Ansem; Jos de Klerk; Geert Vandenberghe; Frank T.G.M. Linskens

Isolated to dense linewidth offsets, also known as proximity bias, can consume a significant portion of the CD budget. As a result, it has received great attention over the recent years. It is demonstrated that proximity bias shows a cyclic swing behavior on reflective substrates with respect to resist thickness variations. The amplitude of proximity bias swing was found to be influenced by coherence, substrate reflectivity, feature dimension and pitch. Proximity bias swing is caused by differences in optical path lengths of light passing through the resist film. Due to different diffraction angles for different pitches, the incoupling positions for different pitches vary. The offset in CD swing incoupling positions for different pitches results in proximity bias swing. At low coherence however, an averaging effect on diffraction angles from different pitches takes place due to the wide range of angles of light passing through the mask. In addition, the impact of exposure margin variations on resolution and proximity bias was demonstrated. Low exposure margins offer high resolution. As a consequence, high proximity bias is observed. Furthermore, different line to space ratios were studied to identify the transition point between dense and isolated features with respect to proximity bias swing. At high coherence ((sigma) equals 0.35) it was observed that for 0.25 micrometers features with pitches smaller than 0.65 micrometers , proximity bias swing is larger than the +/- 0.5% CD budget, which makes it impossible to do effective application of proximity bias correction schemes. At low coherence, only limited proximity bias swing was found. Through variation of bake conditions it was demonstrated that these process variations had no measurable effect on proximity bias swing. Optical settings, in combination with substrate reflectivity, are the main contributors to (eliminate) proximity bias swing.


23rd Annual International Symposium on Microlithography | 1998

Can sub-0.18-μm FEOL be realized in production with KrF DUV?

Wendy F.J. Gehoel-van Ansem; Peter Zandbergen; Jos de Klerk

In this paper, (sub) 0.18 micrometers KrF DUV processes are optimized for logic Front-End-Of-Line (FEOL) CMOS applications. A commercial DUV resist is used without resolution enhancement techniques such as phase-shift masks and off-axis illumination. The full patterning process is considered, i.e., in the final optimized process account is taken of the etch process. Statistical data shows that a stable process was obtained. However, due to minimal process windows at gate level after poly-etch, 0.18 micrometers FEOL cannot be realized in production with KrF DUV.

Collaboration


Dive into the Peter Zandbergen's collaboration.

Researchain Logo
Decentralizing Knowledge