Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ping-Hung Lu is active.

Publication


Featured researches published by Ping-Hung Lu.


Proceedings of SPIE | 2007

Second-generation radiation sensitive developable bottom anti-reflective coatings (DBARC) and implant resists approaches for 193-nm lithography

Francis M. Houlihan; Alberto D. Dioses; Medhat A. Toukhy; Andrew Romano; Joseph E. Oberlander; Hengpeng Wu; Salem K. Mullen; Alexandra Krawicz; Ping-Hung Lu; Mark Neisser

We will discuss our approach towards a second generation radiation sensitive developable bottom antireflective coating (DBARCs) for 193 nm. We will show imaging results (1:1 L/S features down to 140 nm) for some first generation implant resist material based upon a fluorinated resins and also show relative implant resistance of these first generation fluorinated resists towards As implantation (15 KeV at 5x1015 dose with 20 x 10-4 amp). Also, discussed will be a second generation of implant resists based on a non-fluorinated resins. Surprisingly, we found that the nonfluorinated materials gave better implant resistance (~2-3 X1011 atoms/cm2) despite the higher atomic number of fluorine compared to hydrogen in the fluorinated implant materials (~2-5X1012 atoms/cm2). Finally, we will give an update on the lithographic performance of this second generation of implant resists.


Proceedings of SPIE | 2008

Second-generation radiation sensitive 193-nm developable bottom antireflective coatings (DBARC): recent results

Francis M. Houlihan; Alberto D. Dioses; Lin Zhang; Joseph E. Oberlander; Alexandra Krawicz; Sumathy Vasanthan; Meng Li; Yayi Wei; Ping-Hung Lu; Mark Neisser

We will discuss our recent results using a second generation radiation sensitive developable 193 Bottom Antireflective coatings (DBARCs). These DBARC materials are made solvent resistant the application of a resist coating on top of them through a crosslinking mechanism that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. Typically this is done by crosslinking a copolymer containing a hydroxyl moiety with a polyfunctional vinylether during post applied bake. This DBARC approach, after exposure, allows for development of the stack in exposed areas down to the substrate eschewing the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked. We will give an update on the performance our latest 193 nm DBARC materials used with different Implant 193 nm resists when using a phase shift mask with off axis illumination.


Proceedings of SPIE | 2008

Etching spin-on trilayer masks

David J. Abdallah; Shinji Miyazaki; Aritaka Hishida; Allen Timko; Douglas Mckenzie; Dalil Rahman; Woo-Kyu Kim; Lyudmila Pylneva; Hengpeng Wu; Ruzhi Zhang; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel

Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.


Proceedings of SPIE | 2009

Reworkable Spin-on Trilayer Materials: Optimization of Rework Process and Solutions for Manufacturability

Ruzhi Zhang; Allen Timko; John Zook; Yayi Wei; Lyudmila Pylneva; Yi Yi; Chenghong Li; Hengpeng Wu; Dalil Rahman; Douglas Mckenzie; Clement Anyadiegwu; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; Ron Bradbury; Timothy Lee

Trilayer stacks with alternating etch selectivity were developed and extensively investigated for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many different behaviors were observed in comparison to conventional BARC. Lithographic performance, stability, and reworkability were among the most challenging issues for trilayer scheme. Despite of the rapid improvement in lithographic performance and stability of trilayer materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry remained a challenging problem for manufacturability. The dual function requirement of reflection control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials. Si-BARC containing both organic moiety and inorganic backbone were extensively studied and demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the revisit of different wet chemistries and process adjustment is essential to achieve desirable results. In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands subtle rework differentiation by wet chemistry from a chemistry point of view. In our development, we strived to identify rework solutions for trilayer materials in both front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The optimization of solution mixture ratios and processing conditions was systematically studied. Thorough defect inspection after rework was performed to ensure the readiness for manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were carried out and excellent results are reported.


Proceedings of SPIE | 2009

Radiation sensitive developable bottom anti-reflective coatings (DBARC): recent results

Francis M. Houlihan; Alberto D. Dioses; Takanori Kudo; Meng Li; Lin Zhang; Sumathy Vasanthan; Srinivasan Chakrapani; Deepa Parthasarathy; Charito Antonio; Edward Ng; Ping-Hung Lu; Mark Neisser; Munirathna Padmanaban

Second generation, radiation sensitive, developable 193 Bottom Antireflective coatings (DBARCs) are made solvent resistant through a crosslinking mechanism activated during post apply bake (PAB) that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. This allows coating the resists on the DBARC, after PAB, without dissolution of the antireflective coating. This DBARC approach avoids the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked, while maintaining excellent reflectivity control, typically lower than 1% on bare Si. We will give an update on the performance our latest 193 nm DBARC prototype materials used with different conventional alicyclic based 193 nm resists. For instance, using a binary mask with conventional illumination several of our prototype DBARC formulations were able to resolve 120 nm trench features with a 250 nm pitch.


Proceedings of SPIE | 2007

Spin-on trilayer approaches to high NA 193nm lithography

David J. Abdallah; Douglas Mckenzie; Allen Timko; Alberto D. Dioses; Frank Houlihan; Dalil Rahman; Shinji Miyazaki; Ruzhi Zhang; Woo-Kyu Kim; Hengpeng Wu; Lyudmila Pylneva; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; John J. Biafore

New challenges face ArF bottom antireflection coatings (BARCs) with the implementation of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical indices, are necessary to effectively lower substrate reflectivity through a full range of incident angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist, should be stacked with an alternating elemental composition to amplify vertical resolution during etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and antireflection properties in the same two layer system facilitates pattern transfer as a whole rather than just enhancing lithography. As with any material expected to exhibit multiple roles there is a delicate balance between optimizing materials with respect to one of its roles while not impairing its other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic performance of high silicon content and high carbon content BARC materials designed to meet the demands of both high NA lithography and trilayer processing.


Advances in resist technology and processing. Conference | 2005

All i-line lift-off T-gate process and materials

Medhat A. Toukhy; Ping-Hung Lu; Salem K. Mullen

An all i-line 0.22 um T-gate process is demonstrated. A resist structure suitable for metal deposition and lift-off is constructed sequentially with two different resist materials. The lithographic process is described in details in this paper.


electronic components and technology conference | 2014

Large area interposer lithography

Warren W. Flack; Robert Hsieh; Gareth Kenyon; Manish Ranjan; John Slabbekoorn; Andy Miller; Eric Beyne; Medhat A. Toukhy; Ping-Hung Lu; Yi Cao; Chunwei Chen

Large area silicon or glass interposers may exceed the maximum imaging field of step and repeat lithography tools. This paper discusses the lithographic process used to create a large area interposer on a stepper by the combination of multiple subfield exposures. Overlay metrology structures are used to confirm the relative placement of the subfields to construct the interposer. Routing lines from 1.5 to 4.0 μm in width are evaluated to measure critical dimension (CD) control where the lines cross the subfield boundaries. CD metrology at the bottom and top of the photoresist is performed using a top down CD-SEM. Finally large area test interposers are patterned using two subfields on a 1X stepper and processed through a Cu electroplating module for detailed characterization. The CD control of routing lines as they cross the subfield boundary can be optimized by using a shaped or tapered line end design. Lithography simulation using Prolith modeling software by KLA-Tencor is matched to experimental results and then used to evaluate performance of various line end designs. Larger latitude for overlap error was observed for the tapered line end compared to the standard square line end. The experimental and modeled results in this study show the capability of using stepper lithography to produce large area interposers with 1.5 μm I/O routing line dimensions.


Proceedings of SPIE | 2007

Radiation sensitive developable bottom anti-reflective coatings (DBARC) for 193nm lithography: first generation

Medhat A. Toukhy; Joseph E. Oberlander; Salem K. Mullen; Ping-Hung Lu; Mark Neisser

A first generation DBARC applicable for 1st minimum 193nm lithography is described in this paper. The polymer used in this DBARC is insoluble in the casting solvent of the resist, which is propyleneglycolmonomethyletheracetate (PGMEA). Photo acid generator (PAG) and base extractions from the DBARC coating by the resist casting solvent were examined by the DBARC dissolution rates in the developer, before and after solvent treatments. Although the resist and the DBARC do not appear to intermix, strong interaction between the two is evident by their lithographic performance and dissolution rate study.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

The effects of etch chemistry on the etch rates of ArF BARC products

Hong Zhuang; Dave Abdallah; Zhong Xiang; Hengpeng Wu; Jianhui Shan; Ping-Hung Lu; Mark Neisser; Eugene Joseph Karwacki; Bing Ji; Peter R. Badowski

As the feature sizes of integrated circuits shrink, highly anisotropic etching process (i.e., ion-assisted plasma etch, or reactive ion etch (RIE)), becomes even more essential for successful pattern transfer in the fabrication of semiconductor devices. The stringent 193 nm lithography process necessitates the use of bottom anti-reflective coating (BARC) for controlling reflections and improving swing ratios. Prior to RIE of a patterned wafer, the BARC layer must first be opened to allow pattern transfer from the resist mask to the underlying films. As we enter the era of sub-90nm imaging, minimum loss of the photoresist during the BARC open step is becoming more critical, since the demand for higher optical resolution dictates the use of ever thinner resist films. This in turn requires higher etch rate of BARC materials. In this paper we report on the impact of etching gas chemistries on the etch rates of BARC materials. The correlation between the etch chemistry and BARC products will be discussed. Reactive ion etch rates for blanket BARC coatings and BARCs under resist patterns were measured. Etch rates of BARC products of various material compositions were measured with a typical ArF resist as reference. It is well known that the chemical composition and structure of organic materials essentially determine the etch rates under certain etch process conditions. The correlations between etch rates and BARC polymer chemistry are reported. Etch chemistries, (i.e. the chemical interaction of plasma reactive ions with BARC materials), may also have profound effects on etch rates. Here we report on results obtained using four etching gas chemistries to study how oxygen contents, polymerizing gases, and inert gas effect the etch rates of different ArF BARC products.

Collaboration


Dive into the Ping-Hung Lu's collaboration.

Top Co-Authors

Avatar

Mark Neisser

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Ruzhi Zhang

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Woo-Kyu Kim

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Hengpeng Wu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hong Zhuang

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Chunwei Chen

AZ Electronic Materials

View shared research outputs
Researchain Logo
Decentralizing Knowledge