Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Stacey F. Bent is active.

Publication


Featured researches published by Stacey F. Bent.


Surface Science | 2002

Organic functionalization of group IV semiconductor surfaces: principles, examples, applications, and prospects

Stacey F. Bent

Organic functionalization is emerging as an important area in the development of new semiconductor-based materials and devices. Direct, covalent attachment of organic layers to a semiconductor interface provides for the incorporation of many new properties, including lubrication, optical response, chemical sensing, or biocompatibility. Methods by which to incorporate organic functionality to the surfaces of semiconductors have seen immense progress in recent years, and in this article several of these approaches are reviewed. Examples are included from both dry and wet processing environments. The focus of the article is on attachment strategies that demonstrate the molecular nature of the semiconductor surface. In many cases, the surfaces mimic the reactivity of their molecular carbon or organosilane counterparts, and examples of functionalization reactions are described in which direct analogies to textbook organic and inorganic chemistry can be applied. This article addresses the expected impact of these functionalization strategies on emerging technologies in nanotechnology, sensing, and bioengineering.


Science | 2016

Perovskite-perovskite tandem photovoltaics with optimized band gaps

Giles E. Eperon; Tomas Leijtens; Kevin A. Bush; Rohit Prasanna; Thomas Green; Jacob Tse-Wei Wang; David P. McMeekin; George Volonakis; Rebecca L. Milot; Richard May; Axel F. Palmstrom; Daniel J. Slotcavage; Rebecca A. Belisle; Jay B. Patel; Elizabeth S. Parrott; Rebecca J. Sutton; Wen Ma; Farhad Moghadam; Bert Conings; Aslihan Babayigit; Hans-Gerd Boyen; Stacey F. Bent; Feliciano Giustino; Laura M. Herz; Michael B. Johnston; Michael D. McGehee; Henry J. Snaith

Tandem perovskite cells The ready processability of organic-inorganic perovskite materials for solar cells should enable the fabrication of tandem solar cells, in which the top layer is tuned to absorb shorter wavelengths and the lower layer to absorb the remaining longer-wavelength light. The difficulty in making an all-perovskite cell is finding a material that absorbs the red end of the spectrum. Eperon et al. developed an infrared-absorbing mixed tin-lead material that can deliver 14.8% efficiency on its own and 20.3% efficiency in a four-terminal tandem cell. Science, this issue p. 861 A mixed tin-lead perovskite material with a narrow band gap enables efficient tandem solar cells. We demonstrate four- and two-terminal perovskite-perovskite tandem solar cells with ideally matched band gaps. We develop an infrared-absorbing 1.2–electron volt band-gap perovskite, FA0.75Cs0.25Sn0.5Pb0.5I3, that can deliver 14.8% efficiency. By combining this material with a wider–band gap FA0.83Cs0.17Pb(I0.5Br0.5)3 material, we achieve monolithic two-terminal tandem efficiencies of 17.0% with >1.65-volt open-circuit voltage. We also make mechanically stacked four-terminal tandem cells and obtain 20.3% efficiency. Notably, we find that our infrared-absorbing perovskite cells exhibit excellent thermal and atmospheric stability, not previously achieved for Sn-based perovskites. This device architecture and materials set will enable “all-perovskite” thin-film solar cells to reach the highest efficiencies in the long term at the lowest costs.


Nano Letters | 2008

Ultralow Loading Pt Nanocatalysts Prepared by Atomic Layer Deposition on Carbon Aerogels

Jeffrey S. King; Arne Wittstock; Juergen Biener; Sergei Kucheyev; Yinmin M. Wang; Theodore F. Baumann; Sandeep K. Giri; Alex V. Hamza; Marcus Baeumer; Stacey F. Bent

Using atomic layer deposition (ALD), we show that Pt nanoparticles can be deposited on the inner surfaces of carbon aerogels (CA). The resultant Pt-loaded materials exhibit high catalytic activity for the oxidation of CO even at loading levels as low as approximately 0.05 mg Pt/cm2. We observe a conversion efficiency of nearly 100% in the 150-250 degrees C temperatures range, and the total conversion rate seems to be limited only by the thermal stability of the CA support in ambient oxygen. The ALD approach described here is universal in nature, and can be applied to the design of new catalytic materials for a variety of applications, including fuel cells, hydrogen storage, pollution control, green chemistry, and liquid fuel production.


Nanoscale | 2011

Nanoengineering and interfacial engineering of photovoltaics by atomic layer deposition

Jonathan R. Bakke; Katie L. Pickrahn; Thomas P. Brennan; Stacey F. Bent

Investment into photovoltaic (PV) research has accelerated over the past decade as concerns over energy security and carbon emissions have increased. The types of PV technology in which the research community is actively engaged are expanding as well. This review focuses on the burgeoning field of atomic layer deposition (ALD) for photovoltaics. ALD is a self-limiting thin film deposition technique that has demonstrated usefulness in virtually every sector of PV technology including silicon, thin film, tandem, organic, dye-sensitized, and next generation solar cells. Further, the specific applications are not limited. ALD films have been deposited on planar and nanostructured substrates and on inorganic and organic devices, and vary in thickness from a couple of angstroms to over 100 nm. The uses encompass absorber materials, buffer layers, passivating films, anti-recombination shells, and electrode modifiers. Within the last few years, the interest in ALD as a PV manufacturing technique has increased and the functions of ALD have expanded. ALD applications have yielded fundamental understanding of how devices operate and have led to increased efficiencies or to unique architectures for some technologies. This review also highlights new developments in high throughput ALD, which is necessary for commercialization. As the demands placed on materials for the next generation of PV become increasingly stringent, ALD will evolve into an even more important method for research and fabrication of solar cell devices.


Nature Communications | 2014

Selective metal deposition at graphene line defects by atomic layer deposition

Kwanpyo Kim; Han-Bo-Ram Lee; Richard W. Johnson; Jukka T. Tanskanen; Nan Liu; Myung-Gil Kim; Changhyun Pang; Chiyui Ahn; Stacey F. Bent; Zhenan Bao

One-dimensional defects in graphene have a strong influence on its physical properties, such as electrical charge transport and mechanical strength. With enhanced chemical reactivity, such defects may also allow us to selectively functionalize the material and systematically tune the properties of graphene. Here we demonstrate the selective deposition of metal at chemical vapour deposited graphenes line defects, notably grain boundaries, by atomic layer deposition. Atomic layer deposition allows us to deposit Pt predominantly on graphenes grain boundaries, folds and cracks due to the enhanced chemical reactivity of these line defects, which is directly confirmed by transmission electron microscopy imaging. The selective functionalization of graphene defect sites, together with the nanowire morphology of deposited Pt, yields a superior platform for sensing applications. Using Pt-graphene hybrid structures, we demonstrate high-performance hydrogen gas sensors at room temperature and show its advantages over other evaporative Pt deposition methods, in which Pt decorates the graphene surface non-selectively.


Journal of Chemical Physics | 2001

Reactions of methylamines at the Si(100)-2×1 surface

Collin Mui; George T. Wang; Stacey F. Bent; Charles B. Musgrave

We have investigated the room temperature adsorption of methylamine, dimethylamine and trimethylamine using density functional theory (DFT) and multiple internal reflection Fourier transform infrared (MIR-FTIR) spectroscopy. It was found that the reaction pathways of the amines resemble the precursor-mediated dissociative chemisorption of ammonia. Our calculations showed that although dissociation involving N–C bond cleavage is thermodynamically more favorable than the N–H dissociation pathway, the activation barrier for N–CH3 dissociation is significantly higher than that for N–H dissociation. This leads to selective cleavage of N–H bonds in the surface reactions of methylamine and dimethylamine, while trapping trimethylamine in its molecularly chemisorbed state through the formation of a Si–N dative bond. We also identified the products of the reactions of the amines on the Si(100)-2×1 surface by surface IR studies, confirming the theoretical predictions. The selectivity observed in the surface chemistr...


ACS Nano | 2011

Effects of self-assembled monolayers on solid-state CdS quantum dot sensitized solar cells.

Pendar Ardalan; Thomas P. Brennan; Han-Bo-Ram Lee; Jonathan R. Bakke; I-Kang Ding; Michael D. McGehee; Stacey F. Bent

Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO(2) surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO(2) nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM.


Applied Physics Letters | 2004

Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-κ gate dielectrics

Rong Chen; Hyoungsub Kim; Paul C. McIntyre; Stacey F. Bent

A molecular layer resist for HfO2 and ZrO2 atomic layer deposition (ALD) was demonstrated by using self-assembled monolayers (SAMs). X-ray photoelectron spectroscopy and transmission electron microscopy indicated that silicon samples terminated with octadecyltrichlorosilane (ODTS) were deactivated with respect to subsequent ALD of metal oxide gate dielectrics, under conditions in which standard chemical oxide passivated substrates are highly effective templates for ALD film growth. A time-dependent investigation of SAM formation showed that the efficiency of deactivation depends strongly on the quality of the SAMs, with a high-quality, closely packed ODTS film crucial for achieving complete blocking of the HfO2 and ZrO2 ALD process.


Journal of Vacuum Science & Technology B | 2005

Effect of plasma interactions with low- κ films as a function of porosity, plasma chemistry, and temperature

Marcus A. Worsley; Stacey F. Bent; Stephen M. Gates; Nicholas C. M. Fuller; Willi Volksen; Michelle L. Steen; Timothy J. Dalton

Integration of new low-κ interlayer dielectrics (ILD) with current damascene schemes is a continuing issue in the microelectronics industry. During integration of the ILD, processing steps such as plasma etching, resist strip, and chemical-mechanical planarization are known to chemically alter a layer of the dielectric. Here, porous organosilicate glass (OSG) ILD films, which—according to the 2004 edition of the International Technology Roadmap for Semiconductors—are projected for use in the 65 and 45 nm nodes, are investigated. spectroscopic ellipsometry, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy are used to characterize the modified layer of the ILD after exposure to O2 or H2 resist strip plasmas. The effects of the two types of plasma etch chemistries on the formation of the modified layer were found to differ significantly. These effects include both the degree of modification (i.e., chemical composition) and depth of the modified layer. A key difference between the...


Applied Physics Letters | 2005

Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification

Rong Chen; Hyoungsub Kim; Paul C. McIntyre; David W. Porter; Stacey F. Bent

A chemically selective process to achieve high-resolution area-selective atomic layer deposition (ALD) of HfO2 is introduced in this letter. By utilizing the intrinsically selective absorption behavior of self-assembled monolayers (SAMs) on different surfaces, SAMs are used to deactivate the oxide regions on a patterned silicon substrate while leaving areas of hydride-terminated silicon intact. Subsequently, a HfO2 thin film is selectively deposited onto the hydride-terminated silicon regions by ALD. The result by several analytical methods indicates that the process presented here has excellent area selectivity and forms HfO2 patterns with high spatial resolution.

Collaboration


Dive into the Stacey F. Bent's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jukka T. Tanskanen

University of Eastern Finland

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Han-Bo-Ram Lee

Pohang University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Carl Hägglund

Chalmers University of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Charles B. Musgrave

University of Colorado Boulder

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge