Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where W. Miles Clift is active.

Publication


Featured researches published by W. Miles Clift.


Optical Engineering | 2002

Improved reflectance and stability of Mo-Si multilayers

Sasa Bajt; Jennifer B. Alameda; Troy W. Barbee; W. Miles Clift; James A. Folta; Benjamin B Kaufmann

Commercial EUV lithographic systems require multilayers with higher reflectance and better stability than those published to date. This work represents our effort to meet these specifications. Interface- engineered Mo-Si multilayers with 70% reflectance and 0.545-nm bandwidth at 13.5-nm wavelength and 71% reflectance with 0.49-nm bandwidth at 12.7-nm wavelength were developed. These results were achieved with 50 bilayers. These new multilayers consist of alternating Mo and Si layers separated by thin boron carbide layers. Depositing boron carbide on the interfaces leads to reduction in molybdenum silicide formation of the Mo-on-si interfaces. Bilayer contraction is reduced by 30%, implying that there is less intermixing of Mo and si to form silicide. As a result, the Mo-on-si interfaces are sharper in interface-engineered multilayers than in standard Mo-Si multilayers. The optimum boron carbide thicknesses have been determined and appear to be different for the Mo-on-Si and Si-on-Mo interfaces. The best results were obtained with 0.4-nm-thick boron carbide layers for the Mo-on-Si interfaces and 0.25-nm-thick boron carbide layers for the Si-on-Mo interfaces. The increase in reflectance is consistent with multilayers having sharper and smoother interfaces. A significant improvement in oxidation resistance of EUV multilayers has been achieved with ruthenium-terminated Mo-Si multilayers. The best capping-layer design consists of a Ru layer separated from the top Si layer by a boron carbide diffusion barrier. This design achieves high reflectance and the best oxidation resistance during EUV exposure in a water-vapor (oxidizing) environment. Electron- beam exposures of 4.5 h (in an effort to simulate EUV exposure perturbation of the top layers) in the presence of 5x 10-7-Torr water-vapor partial pressure show no measurable reflectance loss and no increase in the oxide thickness of Ru-terminated multilayers.


Soft -X-Ray and Extreme Ultra-Violet Imaging Systems II, San Diego, CA (US), 07/31/2001--08/01/2001 | 2001

Improved reflectance and stability of Mo/Si multilayers

Sasa Bajt; Jennifer B. Alameda; Troy W. Barbee; W. Miles Clift; James A. Folta; Benjamin B Kaufmann

Commercial EUV lithographic systems require multilayers with higher reflectance and better stability then that published to date. This work represents our effort to meet these specifications. Interface-engineered Mo/Si multilayers with 70% reflectance at 13.5 nm wavelength (peak width of 0.545 nm) and 71% at 12.7 nm wavelength (peak width of 0.49 nm) were developed. These results were achieved with 50 bilayers. These new multilayers consist of Mo and Si layers separated by thin boron carbide layers. Depositing boron carbide on interfaces leads to reduction in silicide formation of the Mo-on-Si interfaces. Bilayer contraction is reduced by 30% implying that there is less intermixing of Mo and Si to form silicide. As a result the Mo-on-Si interfaces are sharper in interface-engineered multilayers than in standard Mo/Si multilayers. The optimum boron carbide thicknesses have been determined and appear to be different for Mo-on-Si and Si-on-Mo interfaces. The best results were obtained with 0.4 nm thick boron carbide layer for the Mo-on-Si interface and 0.25 nm thick boron carbide layer for the Si-on-Mo interface. Increase in reflectance is consistent with multilayers with sharper and smoother interfaces. A significant improvement in oxidation resistance of EUV multilayers has been achieved with ruthenium terminated Mo/Si multilayers. The best capping layer design consists of a Ru layer separated from the last Si layer by a boron carbide diffusion barrier. This design achieves high reflectance and the best oxidation resistance in a water vapor (i.e. oxidation) environment. Electron beam exposures of 4.5 hours in the presence of 5x10-7 torr water vapor partial pressure show no measurable reflectance loss and no increase in the oxide thickness of Ru terminated multilayers. Longer exposures in different environments are necessary to test lifetime stability of many years.


26th Annual International Symposium on Microlithography | 2001

Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

Michael E. Malinowski; Philip A. Grunow; Chip Steinhaus; W. Miles Clift; Leonard E. Klebanoff

Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.


Emerging Lithographic Technologies VII | 2003

Atomic hydrogen cleaning of EUV multilayer optics

Samuel Graham; Charles A. Steinhaus; W. Miles Clift; Leonard E. Klebanoff; Sasa Bajt

Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning while providing cleaning rates suitable for EUV lithography operations.


Langmuir | 2008

Zinc oxide growth morphology on self-assembled monolayer modified silver surfaces.

J. W. P. Hsu; W. Miles Clift; Luke N. Brewer

Using organic molecules to direct inorganic crystal growth has opened up new avenues for controlled synthesis on surfaces. Combined with soft lithography to form patterned templates, self-assembled monolayers (SAMs) have been shown to be a powerful approach for the assembly of inorganic nanostructures. In this work, we show that the surface free energy of SAM-modified silver, which depends on end groups and deposition method of SAMs, has a dramatic effect on the nucleation and growth of crystalline ZnO, a technologically important material, from supersaturated solutions. For SAMs with inert methyl end groups, ZnO nucleation is inhibited. For SAMs with chemically active (carboxylic or thiol) end groups, the ZnO morphology is found to be three-dimensional nanorods on low-surface-energy surfaces and two-dimensional thin films on high-energy surfaces.


26th Annual International Symposium on Microlithography | 2001

First environmental data from the EUV engineering test stand

Leonard E. Klebanoff; Michael E. Malinowski; Philip A. Grunow; W. Miles Clift; Chip Steinhaus; Alvin H. Leung; Steven J. Haney

The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.


Emerging Lithographic Technologies VIII | 2004

Scaling studies of capping layer oxidation by water exposure with EUV radiation and electrons

W. Miles Clift; Leonard E. Klebanoff; Charles S. Tarrio; Steven E. Grantham; Obert R. Wood; Stefan Wurm; Nora V. Edwards

Silicon capped [Mo/Si] multilayer mirrors (MLM’s) can undergo oxidation by the combined effects of radiation (Extreme Ultraviolet [EUV], electron) and water vapor. This parametric study provides silicon-capped MLM oxidation rate data. The goal of this study was to determine the dependence of silicon oxidation on water vapor pressure and radiation flux density over three orders of magnitude. Previous work1 has shown that electron and 95.3 eV EUV exposures produce similar oxidation. The present study verifies that correlation and examines the effects of EUV and electron flux on the oxidation rate of the Si-capping layer. E-beam and EUV exposed areas on silicon-capped MLM samples were analyzed following radiation exposure by Auger depth profiling to determine the thickness of the oxide grown. A ruthenium (Ru) capped MLM was also exposed for 4-hours, however it showed very little oxidation under the most extreme conditions of our test matrix. Also the effect of varying the primary e-beam voltage (0.5-2.0 keV) on Si-capped MLM was examined, which showed that exposures in the 1-2 keV range produce similar results.


Emerging Lithographic Technologies VII | 2003

Relation between electron- and photon-caused oxidation in EUVL optics

Michael E. Malinowski; Charles A. Steinhaus; Donald E. Meeker; W. Miles Clift; Leonard E. Klebanoff; Sasa Bajt

Extreme ultraviolet (EUV)-induced oxidation of silicon-capped, [Mo/Si] multilayer mirrors in the presence of background levels of water vapor is recognized as one of the most serious threats to multilayer lifetime since oxidation of the top silicon layer is an irreversible process. The current work directly compares the oxidation on a silicon-capped, [Mo/Si] multilayers caused by EUV photons with the oxidation caused by 1 keV electrons in the presence of the same water vapor environment (2 x 10-6 Torr). Similar, 4 nm, silicon-capped, [Mo/Si] multilayer mirror samples were exposed to photons (95.3 eV) + water vapor at the ALS, LBNL, and also to a 1 keV electron beam + water vapor in separate experimental systems. The results of this work showed that the oxidation produced by ~1 µA of e-beam current was found to be equivalent to that produced by ~1 mW of EUV exposure. These results will help allow the use of 1 keV electrons beams, instead of EUV photons, to perform environmental testing of multilayers in a low-pressure water environment and to more accurately determine projected mirror lifetimes based on the electron beam exposures.


SPIE's 27th Annual International Symposium on Microlithography | 2002

EUVL Mask Blank Repair

Anton Barty; Paul B. Mirkarimi; Daniel G. Stearns; Donald W. Sweeney; Henry N. Chapman; W. Miles Clift; Scott Daniel Hector; Moonsuk Yi

EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect we present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variations in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that these this technology will be extended to the repair of absorber defects in EUVL masks . However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper we present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.


MRS Proceedings | 1999

Indentation-Induced Debonding of Ductile Films

Alex A. Volinsky; W. Miles Clift; N. R. Moody; W. W. Gerberich

Thin film adhesion can be measured by means of the nanoindentation technique [1]. In the case of a ductile film (Cu, Al, Au, etc.) well adhered to a brittle substrate, plastic deformation in the film acts as an energy dissipation mechanism, preventing film debonding. Depositing a brittle layer of W (about 1 micron thick) on top of the film of interest increases the driving force for delamination, thus solving the problem [2]. Indentation produces circular delaminations (blisters), sometimes two orders of magnitude bigger than the indenter contact radius. Thin film adhesion was shown to scale with the film thickness, approaching the true work of adhesion of 0.8 J/m 2 for Cu films less than 100 nm thick [3]. Conceptually it is important to know along what interface the fracture occurs during the blister formation. Auger electron spectroscopy (AES) has been used to determine where fracture occurs for different film systems. Cu films on SiO 2 failed along the Cu/SiO 2 interface. Fracture of Cu films with a 10 nm adhesion-promoting Ti underlayer occurred along the Ti/Cu interface. Significantly, Ti increased the thin Cu film adhesion by a factor of ten. Blisters were removed from the substrate, and the fracture surface was analyzed. In the case of thin Cu films, crack arrest (fiducial) marks were found upon blister removal, and represent the shape of the crack tip [4]. AFM has been used to determine the geometry of the marks. The main component of the arrest marks is carbon, which comes either from the diamond tip or from the hydrocarbons adsorbed on the newly formed surfaces in the indentation process.

Collaboration


Dive into the W. Miles Clift's collaboration.

Top Co-Authors

Avatar

Leonard E. Klebanoff

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Markus D. Ong

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Nancy Y. C. Yang

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

David B. Robinson

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Mary E. Langham

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Philip A. Grunow

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Sasa Bajt

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Chip Steinhaus

Sandia National Laboratories

View shared research outputs
Researchain Logo
Decentralizing Knowledge