Wann-Yun Shieh
Chang Gung University
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Wann-Yun Shieh.
Medical Engineering & Physics | 2012
Wann-Yun Shieh; Ju-Chin Huang
For most elderly, unpredictable falling incidents may occur at the corner of stairs or a long corridor due to body frailty. If we delay to rescue a falling elder who is likely fainting, more serious consequent injury may occur. Traditional secure or video surveillance systems need caregivers to monitor a centralized screen continuously, or need an elder to wear sensors to detect falling incidents, which explicitly waste much human power or cause inconvenience for elders. In this paper, we propose an automatic falling-detection algorithm and implement this algorithm in a multi-camera video surveillance system. The algorithm uses each camera to fetch the images from the regions required to be monitored. It then uses a falling-pattern recognition algorithm to determine if a falling incident has occurred. If yes, system will send short messages to someone needs to be noticed. The algorithm has been implemented in a DSP-based hardware acceleration board for functionality proof. Simulation results show that the accuracy of falling detection can achieve at least 90% and the throughput of a four-camera surveillance system can be improved by about 2.1 times.
Journal of Parallel and Distributed Computing | 2013
Wann-Yun Shieh; Chin-Ching Pong
Many embedded or portable devices have large demands on running real-time applications. The designers start to adopt the multicore processors in these devices. The multi-core processors, however, cause much higher power consumption than ever before. To resolve this problem, many researchers have focused their studies on designing the energy-aware task scheduling algorithms for multicore processors. Conventional scheduling algorithms assumed that each core can operate under different voltage levels. However, they have not considered the effects of voltage transition overheads, which may defeat the benefit of task scheduling. In this paper, we aim to resolve this scheduling problem with voltage transition overhead consideration. We formalize this problem by an integer linear programming model and propose a heuristic algorithm for a runtime environment. The experimental results show that the proposed online heuristic algorithm can obtain the comparable results with the optimal scheduling derived by the offline integer linear programming approach.
Journal of Systems Architecture | 2011
Wann-Yun Shieh; Hsin-Dar Chen
Modern information technology (IT) applications make microprocessors require not only high performance, but also low power-consumption. To enhance computational performance, many instruction level parallelism techniques have been implemented in current microprocessors, e.g., data forwarding, out-of-order execution, register renaming etc. The reorder buffer (ROB) and the register file are the two most critical components to implement these features. The cooperation of them, however, causes serious static-power consumption on a physical register file which stores a large amount of speculative and committed temporary values. In this paper, we use the Pentium 4-like processor as the baseline architecture and propose a runtime approach to save the physical register files static power. In this approach, a monitoring mechanism is built in the ROB and the register file to identify the timing of usage for each register. This mechanism can be integrated with a DVS approach on the datapath to power down (or up) the supply voltages to a register when it is idle (or active). Simulation results show that by this monitoring mechanism and a low-cost DVS design, a 128-entry register file can save at least 50% register file power consumption.
Sensors | 2015
Wann-Yun Shieh; Chin-Man Wang; Chia-Shuo Chang
Dysphagia is a condition that happens when a person cannot smoothly swallow food from the mouth to the stomach. It causes malnourishment in patients, or can even cause death due to aspiration pneumonia. Recently, more and more researchers have focused their attention on the importance of swallowing and respiration coordination, and the use of non-invasive assessment systems has become a hot research trend. In this study, we aimed to integrate the timing and pattern monitoring of respiration and swallowing by using a portable and non-invasive approach which can be applied at the bedside in hospitals or institutions, or in a home environment. In this approach, we use a force sensing resistor (FSR) to detect the motions of the thyroid cartilage in the pharyngeal phase. We also use the surface electromyography (sEMG) to detect the contraction of the submental muscle in the oral phase, and a nasal cannula to detect nasal airflow for respiration monitoring during the swallowing process. All signals are received and processed for swallowing event recognition. A total of 19 volunteers participated in the testing and over 57 measurements were made. The results show that the proposed approach can effectively distinguish the swallowing function in people of different ages and genders.
Neurogastroenterology and Motility | 2015
Chin-Man Wang; Wann-Yun Shieh; Ji Yih Chen; Yih-Ru Wu
Oropharyngeal dysphagia is common after a stroke. Understanding the physiology of swallowing and its coordination with respiration in stroke recovery is crucially important.
international computer symposium | 2010
Wann-Yun Shieh; Bo-Wei Chen
Real-time embedded devices have been widely used in our daily life. To satisfy the performance requirements, most current designs tend to apply the dual- or multi-core processor architecture in the systems. Such systems, however, usually have low power consumption demands. Therefore the Dynamic Voltage Scaling (DVS) technique has been included in most designs. In this paper, we focus our study on the energy-efficient task scheduling algorithm for the dual-core real-time systems. Our goal is to minimize the systems energy consumption and maintain the performance of task execution at the same time. To achieve this goal, we propose two approaches: off-line and on-line. For the off-line approach, we propose an Integer Linear Programming (ILP) based algorithm to find the optimal scheduling. For the on-line approach, we propose a heuristic algorithm. The experimental results show that the energy consumption can be reduced effectively by the heuristic algorithm, and is close to the optimal bounds obtained by the ILP model.
embedded and ubiquitous computing | 2005
Wann-Yun Shieh; Chien-Chen Chen
Low power register file design plays an important role in an embedded processor. In this paper, we exploit register-usage in a program to find out unused registers, and turn these unused registers into low power mode by annotating power-controlling instructions. The whole work is performed by applying the hardware/software co-design principle. For the hardware part, we propose a voltage-scaling control logic to supply voltages for each register. For the software part, we propose a power-controlling-code annotation approach to determine the voltage scaling behavior for each register. Simulation results show that the proposed approach outperforms the other related approaches in terms of the energy-delay product.
Dysphagia | 2016
Chin-Man Wang; Hsueh-Yu Li; Li Ang Lee; Wann-Yun Shieh; Shih-Wei Lin
AbstractThe objectives of this study are to investigate swallowing and its coordination with respiration in patients with obstructive sleep apnea (OSA). This is a prospective cohort study conducted in a tertiary referred Medical Center. A non-invasive method of assessing swallowing was used to detect the oropharyngeal swallowing parameters and the coordination with respiration during swallowing. The system used to assess swallowing detected: (1) movement of the larynx using a force-sensing resistor; (2) submental muscle activity using surface electromyography; and (3) coordination with respiration by measuring nasal airflow. Five sizes of water boluses (maximum 20 mL) were swallowed three times, and the data recorded and analyzed for each participant. Thirty-nine normal controls and 35 patients with OSA who fulfilled the inclusion criteria were recruited. The oropharyngeal swallowing parameters of the patients differed from the controls, including longer total excursion duration and shorter duration of submental muscles contraction. A longer swallowing respiratory pause (SRP), temporary coordination with respiration during swallowing, was demonstrated in the patients compared with the controls. The frequency of non-expiratory/expiratory pre- and postswallowing respiratory phase patterns of the patients was similar with the controls. There was significantly more piecemeal deglutition in OSA patients when clumping 10- and 20-mL water boluses swallowing together (p = 0.048). Oropharyngeal swallowing and coordination with respiration affected patients with OSA, and it could be detected using a non-invasive method. The results of this study may serve as a baseline for further research and help advance research methods in obstructive sleep apnea swallowing studies.
embedded and ubiquitous computing | 2006
Wann-Yun Shieh; Hsin-Dar Chen
Modern portable or embedded systems support more and more complex applications. These applications make embedded devices require not only low power-consumption, but also high computing performance. To enhance performance while hold energy constraints, some high-end embedded processors, therefore, adopt conventional features to exploit instruction-level parallelism and increase clock rates. The reorder buffer (ROB) and the register file are the two most critical components to implement these features. The cooperation of them, however, causes serious leakage power, especially for a large register file. In this paper, we propose a pure hardware approach to reduce the leakage power for the register file, such that more complex features (e.g., out-of-order execution, speculation execution, etc) can be applied to high-end embedded processors. In the proposed approach, we design a monitoring scheme in the pipeline datapath to identify the timing of powering up or powering down a register. Simulation results show that our approach saves at least 50% power consumption of the register file, with almost negligible performance lost.
Sensors | 2016
Wann-Yun Shieh; Yan-Ying Ju; Yu-Chun Yu; Che-Kuan Lin; Yen-Tzu Lin; Hsin-Yi Kathy Cheng
Most individuals with intellectual disabilities (ID) demonstrate problems in learning and movement coordination. Consequently, they usually have difficulties in activities such as standing, walking, and stair climbing. To monitor the physical impairments of these children, regular gross motor evaluation is crucial. Straight-line level walking is the most frequently used test of their mobility. However, numerous studies have found that unless the children have multiple disabilities, no significant differences can be found between the children with ID and typically-developed children in this test. Stair climbing presents more challenges than level walking because it is associated with numerous physical factors, including lower extremity strength, cardiopulmonary endurance, vision, balance, and fear of falling. Limited ability in those factors is one of the most vital markers for children with ID. In this paper, we propose a sensor-based approach for measuring stair-walking performance, both upstairs and downstairs, for adolescents with ID. Particularly, we address the problem of sensor calibration to ensure measurement accuracy. In total, 62 participants aged 15 to 21 years, namely 32 typically-developed (TD) adolescents, 20 adolescents with ID, and 10 adolescents with multiple disabilities (MD), participated. The experimental results showed that stair-walking is more sensitive than straight-line level walking in capturing gait characteristics for adolescents with ID.