Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where William H. Broadbent is active.

Publication


Featured researches published by William H. Broadbent.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Field results from a new die-to-database reticle inspection platform

William H. Broadbent; Ichiro Yokoyama; Paul Yu; Kazunori Seki; Ryohei Nomura; Heiko Schmalfuss; Jan Heumann; Jean-Paul Sier

A new die-to-database high-resolution reticle defect inspection platform, TeraScanHR, has been developed for advanced production use with the 45nm logic node, and extendable for development use with the 32nm node (also the comparable memory nodes). These nodes will use predominantly ArF immersion lithography although EUV may also be used. According to recent surveys, the predominant reticle types for the 45nm node are 6% simple tri-tone and COG. Other advanced reticle types may also be used for these nodes including: dark field alternating, Mask Enhancer, complex tri-tone, high transmission, CPL, etc. Finally, aggressive model based OPC will typically be used which will include many small structures such as jogs, serifs, and SRAF (sub-resolution assist features) with accompanying very small gaps between adjacent structures. The current generation of inspection systems is inadequate to meet these requirements. The architecture and performance of the new TeraScanHR reticle inspection platform is described. This new platform is designed to inspect the aforementioned reticle types in die-to-database and die-to-die modes using both transmitted and reflected illumination. Recent results from field testing at two of the three beta sites are shown (Toppan Printing in Japan and the Advanced Mask Technology Center in Germany). The results include applicable programmed defect test reticles and advanced 45nm product reticles (also comparable memory reticles). The results show high sensitivity and low false detections being achieved. The platform can also be configured for the current 65nm, 90nm, and 130nm nodes.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Results from a new die-to-database reticle inspection platform

William H. Broadbent; James N. Wiley; Zain K. Saidin; Sterling G. Watson; David Alles; Larry S. Zurbrick; Chris A. Mack

A new DUV die-to-database high-resolution reticle defect inspection platform has been developed. This platform is designed to meet the 90nm through 65nm node 248/193nm lithography reticle qualification requirements of the IC industry. These design nodes typically include: COG layers, EPSM layers, and AltPSM layers, plus aggressive OPC which includes jogs, serifs, and SRAF (sub-resolution assist features). The architecture and technology of the new inspection platform is described. Die-to-database inspection results are shown on standard programmed defect test reticles, as well as, advanced 90nm through 65nm node reticles from industry sources. Results show high sensitivity and low false detections being achieved.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Results from a new reticle defect inspection platform

William H. Broadbent; James N. Wiley; Zain K. Saidin; Sterling G. Watson; David Alles; Larry S. Zurbrick; Chris A. Mack

A new DUV high-resolution reticle defect inspection platform has been developed to meet the sub-90nm node 248/193nm lithography reticle qualification requirements of the IC industry. This advanced lithography process typically includes COG layers, EPSM layers, and AltPSM layers; aggressive OPC is typically used which includes jogs, serifs, and SRAF (sub-resolution assist features). The architecture and performance of the new reticle defect inspection platform is described. Die-to-die inspection results on standard programmed defect test reticles are presented showing typically 50nm edge placement defect sensitivity, 80nm point defect sensitivity, 5.5% flux defect sensitivity, and 100nm quartz phase defect sensitivity. Low false detection results are also shown on 90nm node and below product reticles. Direct comparisons with UV wavelength inspections show measurable sensitivity improvement and a reduction in false detections. New lithography oriented defect detectors are discussed and data shown.


21st Annual BACUS Symposium on Photomask Technology | 2002

Multibeam high-resolution die-to-database reticle inspection

William Waters Volk; William H. Broadbent; Hector I. Garcia; Sterling G. Watson; Phillip Lim; Wayne Ruch

A new die-to-database reticle inspection system has been developed to meet the production requirements for 130nm node 4x reticles, as well as, the early inspection requirements for 100nm node 4x reticles. This new system is based on the TeraStarT platform1 developed recently by KLA-Tencor Corporation for high performance die-to-die and STARlightT inspection of 130nm node reticles. The TeraStar platform uses high-NA triple-beam scanning laser optics for high throughput. The platform also includes a new generation of defect detection algorithms and image processing hardware to inspect, with high sensitivity and low false detections, the small linewidths, aggressive OPC, and advanced EPSM 4x reticles characteristic of the 130nm node. The platform further includes the TeraProTM concurrent STARlight and die-to-die inspection mode for exceptional productivity. The necessary database elements have now been developed and added to the TeraStar platform, to give it die-to-database inspection capability. A new data format along with new data preparation, data rendering, and data modeling algorithms have been developed to allow high precision database matching with the optical image for exceptional die-to-database performance. The TeraPro high productivity features of the TeraStar platform have been extended to the die-to-database mode providing the opportunity to use STARlight and die-to-database modes concurrently.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Inspection of advanced computational lithography logic reticles using a 193-nm inspection system

Ching-Fang Yu; Mei-Chun Lin; Mei-Tsu Lai; Luke T. H. Hsu; Angus Chin; Shin-Chang Lee; Anthony Yen; Jim Wang; Ellison Chen; David Wu; William H. Broadbent; William Huang; Zinggang Zhu

We report inspection results of early 22-nm logic reticles designed with both conventional and computational lithography methods. Inspection is performed using a state-of-the-art 193-nm reticle inspection system in the reticleplane inspection mode (RPI) where both rule-based sensitivity control (RSC) and a newer modelbased sensitivity control (MSC) method are tested. The evaluation includes defect detection performance using several special test reticles designed with both conventional and computational lithography methods; the reticles contain a variety of programmed critical defects which are measured based on wafer print impact. Also included are inspection results from several full-field product reticles designed with both conventional and computational lithography methods to determine if low nuisance-defect counts can be achieved. These early reticles are largely single-die and all inspections are performed in the die-to-database inspection mode only.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Inspection results for 32nm logic and sub-50nm half-pitch memory reticles using the TeraScanHR

Jean-Paul Sier; William H. Broadbent; Farzin Mirzaagha; Paul Yu

Results from the recently available TeraScanHR reticle inspection system were published in early 2007. These results showed excellent inspection capability for 45nm logic and 5xnm half-pitch memory advanced production reticles, thus meeting the industry need for the mid-2007 start of production. The system has been in production use since that time. In early 2007, some evidence was shown of capability to inspect reticles for the next nodes, 32nm logic and sub-50nm half-pitch memory, but the results were incomplete due to the limited availability of such reticles. However, more of these advanced reticles have become available since that time. Inspection results of these advanced reticles from various leading edge reticle manufacturers using the TeraScanHR are shown. These results indicate that the system has the capability to provide the needed inspection sensitivity for continued development work to support the industry roadmap.


21st Annual BACUS Symposium on Photomask Technology | 2002

Improved method for measuring and assessing reticle pinhole defects

Darren Taylor; Anthony Vacca; Larry S. Zurbrick; William B. Howard; William H. Broadbent

With the increased resolution of todays lithography processes, reticle pinhole defects are much more printable. Measuring the size of small pinholes using the current SEM method often produces erroneous results when compared to pinhole energy transmission. This is mainly due to the fact that SEMs do not accurately account for edge wall angle and partial filling which can dramatically reduce the pinhole transmission and subsequent printability. Since reticle inspection tools, like wafer steppers and scanners, use transmitted illumination, pinhole detection performance based upon top surface SEM defect sizing is often erroneous for small pinhole diameters. This study first uses simulation to predict printability. Then, a pinhole test reticle is developed with a variety of sub-200nm pinholes. The reticle pinholes are measured with an improved method incorporating transmission and imaged to wafer in order to assess printability.


18th European Mask Conference on Mask Technology for Integrated Circuits and Micro-Components | 2002

Measuring and assessing printability of reticle pinhole defects

Darren Taylor; Anthony Vacca; Larry S. Zurbrick; William H. Broadbent; Peter Fiekowsky

Reticle pinhole defects below 200nm are problematic from several standpoints. The wafer manufacturer presents a specification to the reticle producer, who in turn charges the inspection tool vendors with the task of detecting pinholes of a given size. The measurement of these pinholes, especially on programmed defect test masks, becomes critical to the success of this flow. Measuring the size of these small pinholes using the current SEM method often produces inconsistent results when compared to pinhole printability. Early studies have suggested that since the SEM measures only the top surface of the pinhole, the measurement does not account for edge wall angle and partial filling which reduces the pinhole transmission and subsequent printability. This investigation focuses on several transmitted light approaches for reticle pinhole measurement on programmed defect masks. An attempt to correlate these methods back to traditional SEM and optical sizing methods will also be attempted.


Photomask Technology | 2018

1X HP EUV reticle inspection with a 193nm inspection system

William H. Broadbent; Sterling G. Watson; Pei-Chun Chiang; Rui-Fang Shi; Jim-Ren Wang; Phillip Lim

The current industry plan is for EUV Lithography (EUVL) to enter High Volume Manufacturing (HVM) in the 2019/20 timeframe for the 1X nm half-pitch (HP) node (logic and memory). Reticle quality and reticle defects continue to be a top industry risk. The primary reticle defect quality requirement continues to be “no reticle defects causing 10% or larger CD errors on wafer (CDE)”. In 2013, KLA-Tencor reported on inspection of EUV reticles using a 193nm wavelength inspection system1. The report included both die-to-database (db) and die-to-die (dd) inspection modes. Results showed the capability to detect a wide variety of programmed and native reticle defects judged to be critical. We have developed extensions to the 193nm wavelength (193) inspection system for the typical 2019/20 HVM EUV reticle defect requirements. These improvements include innovations in: defect enhancement methods, database modeling, defect detection, and throughput. In this paper, we report on the latest data and results of this work, focusing on EUV reticle dieto- database inspection. Inspection results are shown using typical next generation EUV programmed defect test reticles and typical full field product-like EUV reticles, all from industry sources. Results show significant defect detection improvements versus the prior generation inspection system. We also report the test results of a high throughput die-todatabase inspection mode that could be used for the typical mask shop outgoing inspection of EUV reticles where particles are the primary defect to be detected and there is no pellicle (or the pellicle transmits 193nm wavelength2).


Proceedings of SPIE | 2016

Through-pellicle defect inspection of EUV masks using an ArF-based inspection tool

Dario L. Goldfarb; William H. Broadbent; Mark Wylie; Nelson Felix; Daniel Corliss

The use of EUV photomasks in a semiconductor manufacturing environment requires their periodic inspection to ensure they are continually free of defects that could impact device yield. Defects typically occur from fall-on particles or from surface degradation such as “haze”. The proposed use of a polycrystalline-based EUV pellicle to prevent fall-on particles would preclude periodic through-pellicle mask defect inspection using e-beam, as well as, DUV inspection tools (the pellicle is opaque at DUV wavelengths). Thus, to use these types of defect inspection tools would require removal of the EUV pellicle before inspection. After inspection, the pellicle would need to be re-attached and the mask re-qualified using a test wafer, thus causing expense and delays. While EUV-wavelength inspection tools could inspect through such a pellicle precluding the need to remove the pellicle, these tools are not likely to be available in the commercial marketplace for many years. An alternate EUV pellicle material has been developed that is semi-transparent to 193nm wavelengths, thus allowing through-pellicle inspection using existing ArF-based, or other 193nm wavelength mask inspection tools. This eliminates the requirement to remove the pellicle for defect inspection and the associated time and expense. In this work, we will conduct an initial evaluation of through-pellicle EUV mask defect inspection using an existing 193nm mask inspection tool. This initial evaluation will include durability of the pellicle to defect inspection, and impact of the pellicle on inspection tool performance.

Collaboration


Dive into the William H. Broadbent's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge