Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Abhishek Ranjan is active.

Publication


Featured researches published by Abhishek Ranjan.


international conference on computer aided design | 2003

Multi-Million Gate FPGA Physical Design Challenges

Maogang Wang; Abhishek Ranjan; Salil Raje

The recent past has seen a tremendous increase in the size ofdesign circuits that can be implemented in a single FPGA. Theselarge design sizes significantly impact cycle time due to designautomation software runtimes and an increased number ofperformance based iterations. New FPGA physical designapproaches need to be utilized to alleviate some of theseproblems. Hierarchical approaches to divide and conquer thedesign, early estimation tools for design exploration, andphysical optimizations are some of the key methodologies thathave to be introduced in the FPGA physical design tools. Thispaper will investigate the loss/benefit in quality of results due tohierarchical approaches and compare and contrast some of thedesign automation problem formulations and solutions neededfor FPGAs versus known standard cell ASIC approaches.


IEEE Transactions on Very Large Scale Integration Systems | 2001

Fast floorplanning for effective prediction and construction

Abhishek Ranjan; Kiarash Bazargan; Seda Ogrenci; Majid Sarrafzadeh

Floorplanning is a crucial phase in VLSI physical design. The subsequent placement and routing of the cells/modules are coupled very closely with the quality of the floorplan. A widely used technique for floorplanning is simulated annealing. It gives very good floorplanning results but has major limitation in terms of run time. For circuit sizes exceeding tens of modules simulated annealing is not practical. Floorplanning forms the core of many synthesis applications. Designers need faster prediction of system metrics to quickly evaluate the effects of design changes. Early prediction of metrics is imperative for estimating timing and routability. In this work we propose a constructive technique for predicting floorplan metrics. We show how to modify the existing top-down partitioning-based floorplanning to obtain a fast and accurate floorplan prediction. The prediction gets better as the number of modules and flexibility in the shapes increase. We also explore applicability of the traditional sizing theorem when combining two modules based on their sizes and interconnecting wirelength. Experimental results show that our prediction algorithm can predict the area/length cost function normally within 5-10% of the results obtained by simulated annealing and is, on average, 1000 times faster.


international symposium on physical design | 2004

Innovate or perish: FPGA physical design

Taraneh Taghavi; Soheil Ghiasi; Abhishek Ranjan; Salil Raje; Majid Sarrafzadeh

The recent past has seen a tremendous increase in the size of design circuits that can be implemented in a single FPGA. The size and complexity of modern FPGAs has far outpaced the innovations in FPGA physical design. The problems faced by FPGA designers are similar in nature to those that preoccupy ASIC designers, namely, interconnect delays and design management. However, this paper will show that a simple re-targeting of ASIC physical design methodologies and algorithms to the FPGA domain will not suffice. We will show that several well researched problems in the ASIC world need new problem formulations and algorithms research to be useful for todays FPGAs. Partitioning, floorplanning, placement, delay estimation schemes are only some of the topics that need complete overhaul. We will give problem formulations, motivated by experimental results, for some of these topics as applicable in the FPGA domain.


international conference on computer design | 2000

Fast hierarchical floorplanning with congestion and timing control

Abhishek Ranjan; Kia Bazargan; Majid Sarrafzadeh

We propose fresher looks into already existing hierarchical partitioning based floorplan design methods and their relevance in providing faster alternatives to conventional approaches. We modify the existing partitioning based floor-planner to handle congestion and timing. We also explore the applicability of traditional sizing theorem for combining two modules based on their sizes and interconnecting wirelength. The results show that our floorplanning approach can produce floorplans hundred times faster and at the same time achieving better quality (on average 20% better wirelength, better congestion and better timing optimization) than that of pure simulated annealing based floorplanner.


great lakes symposium on vlsi | 2000

Fast and accurate estimation of floorplans in logic/high-level synthesis

Kiarash Bazargan; Abhishek Ranjan; Majid Sarrafzadeh

In many applications such as high-level synthesis (HLS) and logic synthesis and possibly engineering change order (ECO) we would like to get fast and accurate estimations of different performance measures of the chip, namely area, delay and power consumption. These measures cannot be estimated with high accuracy unless a fairly detailed layout of the chip, including the floorplan and routing is available, which in turn are very costly processes in terms of running time. As we have entered the deep sub-micron era, we have to deal with designs which contain million gates and up. Not only we should consider the area occupied by the modules, but we also have to consider the wiring congestion. In this paper we propose a cost function that is, in addition to other parameters, a function of the wiring area. We also propose a method, to avoid running the floorplanning process after every change in the design, by considering the possible changes in advance and generating a floorplan which is tolerant to these modifications, i.e., the changes in the netlist does not dramatically change the performance measures of the chip. Experiments are done in the high-level synthesis domain, but the method can be applied to logic synthesis and ECO as well. We gain speedups of 184% on the average over the traditional estimation methods used in HLS.


great lakes symposium on vlsi | 2001

Layout aware retiming

Abhishek Ranjan; Ankur Srivastava; V. Karnam; Majid Sarrafzadeh

Retiming is a technique for optimizing sequen tial circuits Up till now all the major work on retiming has concentrated on retiming at pre layout stage where interconnect delays of the circuit are not taken into account while do ing retiming In this work we propose a tighter integration of layout and retiming stages We propose in place incremental retiming and placementmethodology in which retiming and placement proceed incrementally By doing so we show that a more accurate assessment of number of registers needed to achieve minimum or given cycle time can be made Our results show that incorporating wire delays at the re timing level achieves the required cycle time by adding lesser registers and hence sav ing chip area as compared to the case when no wire delay information is used during retiming


field programmable gate arrays | 2004

Multi-resource aware partitioning algorithms for FPGAs with heterogeneous resources

Navaratnasothie Selvakkumaran; Abhishek Ranjan; Salil Raje; George Karypis

As the chip densities increase, the modern FPGAs contain large capacity and increasingly provide heterogeneous units such as multipliers, processor/DSP cores, RAM-blocks etc, for efficient execution of crucial functions of the design. The hypergraph partitioning algorithms are generally used as a divide-and-conquer strategy, during synthesis and placement. The partitioning algorithms for designs with heterogeneous resources, need to not only minimize the cut, but also balance the individual types of resources. Unfortunately, the state-of-the-art multilevel hypergraph partitioning algorithms (hMetis,MLPart), are not capable of distinguishing the types of cells. To overcome this problem, we developed a new set of multilevel hypergraph partitioning algorithms, that are aware of multiple resources, and are guaranteed to balance the utilization of different resources. By evaluating these algorithms on large benchmarks, we found that it is possible to achieve such feasible partitions, while incurring only a slightly higher cut (3.3%-5.7%) compared to infeasible partitions generated by hMetis.


system-level interconnect prediction | 1999

Floorplanner 1000 Times Faster: A Good Predictor and Constructor

Abhishek Ranjan; Kia Bazargan; Majid Sarrafzadeh


Archive | 2008

Partitioning a large design across multiple devices

David A. Knol; Abhishek Ranjan; Salil Ravindra Raje


Archive | 2005

Method of memory and run-time efficient hierarchical timing analysis in programmable logic devices

Abhishek Ranjan; David A. Knol; Salil Ravindra Raje

Collaboration


Dive into the Abhishek Ranjan's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Salil Raje

Northwestern University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kia Bazargan

University of Minnesota

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Seda Ogrenci

University of California

View shared research outputs
Researchain Logo
Decentralizing Knowledge