Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Akinori Ohkubo is active.

Publication


Featured researches published by Akinori Ohkubo.


Proceedings of SPIE | 2007

Assessment of trade-off between resist resolution and sensitivity for optimization of hyper-NA immersion lithography

Yasuhiro Kishikawa; Miyoko Kawashima; Akinori Ohkubo; Yuichi Iwasaki; Seiji Takeuchi; Minoru Yoshii; Tokuyuki Honda

The resist blur due to photoacid diffusion is a significant issue for 45-nm half-pitch node and beyond. Furthermore, it has been generally recognized that there is a trade-off between resist resolution and sensitivity. In this paper, we study the influence of the resist blur on resolution and sensitivity in hyper-numerical aperture ArF immersion lithography by utilizing a two-beam interferometric exposure tool. We evaluated the current photoresist performance for some of the latest commercial resists, and estimated their acid diffusion lengths as 8 to 9 nm in sigma assuming Gaussian blur kernel. In addition, we found that the acid diffusion length, that is, the resist resolution was controllable by PAG anion size, polymer resin size, and PEB temperature. We also found that there was the trade-off between resist resolution and sensitivity. Our results indicated that the resist blur is still a concern in order to extend ArF lithography for 45-nm half-pitch node and beyond, however, it will not likely be a showstopper. We consider that total optimization of resists and exposure tools is important in order to achieve ultimate resolution in hyper-NA immersion lithography.


Advances in Mirror Technology for X-Ray, EUV Lithography, Laser, and Other Applications II | 2004

Recent Progress of EUV Wavefront Metrology in EUVA

Masanobu Hasegawa; Chidane Ouchi; Takayuki Hasegawa; Seima Kato; Akinori Ohkubo; Akiyoshi Suzuki; Katsumi Sugisaki; Masashi Okada; Katsura Otaki; Katsuhiko Murakami; Jun Saito; Masahito Niibe; Mitsuo Takeda

The recent experimental results of EUV wavefront metrology in EUVA are reported. EUV Experimental Interferometer (EEI) was built at the NewSUBARU synchrotron facility of University of Hyogo to develop the most suitable wavefront measuring method for EUV projection optics. The result is to be reflected on EWMS (EUV Wavefront Metrology System) that measures wavefront aberrations of a six-aspherical mirror projection optics of NA0.25, of a mass-production EUV lithography tool. The experimental results of Point Diffraction Interferometer (PDI) and Lateral Shearing Interferometer (LSI) are shown and the error factors and the sensitivity of astigmatism measurements of these methods are discussed. Furthermore, for reducing these kinds of errors, another type of shearing interferometer called DTI (Digital Talbot interferometer) is newly introduced.


Optical Microlithography XVII | 2004

ArF immersion lithography: critical optical issues

Tokuyuki Honda; Yasuhiro Kishikawa; Toshinobu Tokita; Hiroshi Ohsawa; Miyoko Kawashima; Akinori Ohkubo; Minoru Yoshii; Koji Uda; Akiyoshi Suzuki

We present selected results of our feasibility study on ArF Immersion lithography from the viewpoint of the exposure-tool development. First, we show that utilizing finite bubble lifetime in degassed water can eliminate air bubbles that are generated by wafer scanning. Second, it is shown that thermal fluctuation of immersion liquid as well as vectorial diffraction effect from the mask is not significant in terms of imaging performance. Third, we demonstrate resist imaging of 60-nm and 45-nm line-and-space patterns in interferometric exposure experiments with an ArF laser at the power level of the actual exposure tools. Fourth, the increase of the depth of focus is confirmed using an alpha exposure tool of ArF immersion. All these results indicate that the ArF immersion lithography is promising for 65-nm half-pitch node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

What determines the ultimate resolution? The critical relationship between exposure tools and photoresists

Tokuyuki Honda; Yasuhiro Kishikawa; Yuichi Iwasaki; Akinori Ohkubo; Miyoko Kawashima; Minoru Yoshii

As the resolution of optical lithography is being pushed for 45-nm half-pitch node, there is a growing concern about the printing capability of chemically amplified resists. The chemical amplification involves photoacid diffusion that causes contrast degradation of latent image or, in other words, resist blur. In this paper, we study the influence of the resist blur in high-NA ArF immersion lithography by using an interferometric exposure tool. Contrast ratio between the resist latent image and the original aerial image was measured for half pitch from 50 nm to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming Gaussian blur kernel. The results revealed that the influence of resist blur is in fact a significant issue for the 45-nm half pitch node. We consider that reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in the exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with high-index immersion fluid. The reduction of acid diffusion will be even more important if the ArF immersion is to be extended beyond 45-nm half-pitch node with high-index fluids. While the focus of the paper is on high-NA ArF immersion lithography, our findings are also relevant to EUV lithography.


Journal of Micro-nanolithography Mems and Moems | 2006

Influence of resist blur on ultimate resolution of ArF immersion lithography

Tokuyuki Honda; Yasuhiro Kishikawa; Yuichi Iwasaki; Akinori Ohkubo; Miyoko Kawashima; Minoru Yoshii

The chemical amplification provides high sensitivity of resists for deep-uv and extreme-uv (EUV) lithography. On the other hand, the chemical amplification involves photoacid diffusion that causes contrast degradation of the latent image or, in other words, resist blur. We study the influence of the resist blur in high-numerical aperture ArF immersion lithography by using an interferometric exposure tool. The contrast ratio between the resist latent image and the original aerial image was measured for half pitches from 45 to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming a Gaussian blur kernel. The results revealed that the influence of the resist blur is a significant issue for the 45-nm half-pitch node. The reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with a high-index fluid. While our focus is on ArF immersion lithography, our findings are also relevant to EUV lithography.


Archive | 2006

EUVA’s challenges toward 0.1nm accuracy in EUV at-wavelength interferometry

Katsumi Sugisaki; Masanobu Hasegawa; Masashi Okada; Zhu Yucong; Katsura Otaki; Zhiqiang Liu; Mikihiko Ishii; Jun Kawakami; Katsuhiko Murakami; Jun Saito; Seima Kato; Chidane Ouchi; Akinori Ohkubo; Yoshiyuki Sekine; Takayuki Hasegawa; Akiyoshi Suzuki; Masahito Niibe; Mitsuo Takeda

We have been developing the metrological techniques to achieve 0.1 nm accuracy for evaluating the EUV lithographic optics. To select the most suitable methods, six different methods are compared. As a result, we have concluded that the PDI, the LDI and the CGLSI are the most promising candidates installing the EWMS for evaluating the EUV lithographic optics. To achieve the ultra-high accuracy, we have analysed various error factors and developed various calibration methods. In order to assess the accuracy of our interferometer, the asymmetrical systematic errors are evaluated. The evaluated asymmetric error is less than 0.09 nm rms, which is small enough for measuring the wavefront of the EUV lithographic optics. The interferometry can extend to the extremely short wavelength of the EUV region and the ultra-high accuracy is achieved.


Optical Microlithography XVIII | 2005

Analysis of imaging properties for hyper-NA ArF immersion lithography

Yoshiyuki Sekine; Miyoko Kawashima; Kenji Yamazoe; Tokuyuki Honda; Akinori Ohkubo; Yasuhiro Kishikawa; Yuichi Iwasaki; Akiyoshi Suzuki

As imaging properties of ArF Immersion optics are evaluated in a hyper-NA region, the polarization of illumination systems and vectorial mask diffraction play an important role. We investigate the effectiveness of polarized illumination for practical patterns including the border of dense line-and-space (L/S) patterns, semi-dense L/S patterns, isolated lines, and contact holes. The results show that polarized illumination is effective in projecting many patterns except semi-dense L/S patterns and relatively large contact holes. Secondly, we examine how bias settings of alternating phase-shift masks (AltPSMs) are affected by vectorial mask diffraction, which depends on the polarization of incident light and feature size on the mask. Although a reduction ratio of 8x facilitates bias settings compared with that of 4x, it is necessary to take into account the effect of vectorial mask diffraction even in the case of 8x. Since polarized illumination also simplifies bias settings, the illumination is useful for 4x projection optics. High-index fluids have recently attracted considerable attention because they are capable of extending the numerical aperture of projection optics beyond the refractive index of water (n=1.44). We study imaging properties of 1.50NA projection optics with an immersion fluid of n=1.64 and the preliminary requirements of fundamental optical characteristics of the fluid.


Journal of Micro-nanolithography Mems and Moems | 2009

Influence of resist blur on resolution of hyper-NA immersion lithography beyond 45-nm half-pitch

Minoru Yoshii; Yasuhiro Kishikawa; Yuichi Iwasaki; Akinori Ohkubo; Miyoko Kawashima; Seiji Takeuchi; Tokuyuki Honda; Toyohiko Yatagai

For lithography of 45-nm half-pitch and beyond, the resist blur due to photoacid diffusion is a significant issue. On the other hand, it has been generally recognized that there is a trade-off between resist resolution and sensitivity. We study the influence of the resist blur on resolution in hypernumerical aperture ArF immersion lithography by utilizing a two-beam interferometric exposure tool. We evaluated the current photoresist performance for some of the latest commercial resists and estimated their acid diffusion lengths as 8 nm to 9 nm in sigma assuming Gaussian blur kernel. In addition, we found that the acid diffusion length, which is directly related to the resist resolution and is controllable by photoacid generator (PAG) anion size, polymer resin size, and post-exposure bake (PEB) temperature. We confirmed that there is a trade-off between resist resolution and sensitivity. Our results indicate that the resist blur is still a concern in order to extend lithography for 45 nm and beyond; however, it will not likely be a showstopper. We consider that total optimization of resists and exposure tools is important in order to achieve ultimate resolution in hyper-NA immersion lithography.


Archive | 2005

Measuring apparatus and exposure apparatus having the same

Akinori Ohkubo


Archive | 2011

WAVEFRONT OPTICAL MEASURING APPARATUS

Akinori Ohkubo; Yasuyuki Unno

Collaboration


Dive into the Akinori Ohkubo's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge