Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Miyoko Kawashima is active.

Publication


Featured researches published by Miyoko Kawashima.


Proceedings of SPIE | 2008

Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement technique

Miyoko Kawashima; Kenji Yamazoe; Yoshiyuki Sekine; Manabu Hakko; Masakatsu Ohta; Tokuyuki Honda

In this paper, a new resolution enhancement technique named 2D-TCC technique is proposed. This method can enhance resolution of line patterns as well as that of contact hole patterns by the use of an approximate aerial image. The aerial image, which is obtained by 2D-TCC calculation, expresses the degree of coherence at the image plane of a projection optic considering mask transmission at the object plane. OPC of desired patterns and placement of assist patterns can be simultaneously performed according to an approximate aerial image called a 2D-TCC map. Fast calculation due to truncation of a series in calculating an aerial image is another advantage. Results of mask optimization for various line patterns and the validity of the 2D-TCC technique by simulations and experiments are reported.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Extension of the 2D-TCC technique to optimize mask pattern layouts

Manabu Hakko; Kenji Yamazoe; Miyoko Kawashima; Yoshiyuki Sekine; Masakatsu Ohta; Tokuyuki Honda

The extendibility of 2D-TCC technique to an isolated line of 45 nm width is investigated in this paper. The 2D-TCC technique optimizes mask patterns placing assist pattern automatically. For 45 nm line patterns, the assist pattern width generally becomes much smaller than the exposure wavelength of 193 nm. Thus, the impact of the topography of a mask is examined using an electro-magnetic field (EMF) simulation. This simulation indicates that unwanted assist pattern printings are brought about by assist patterns with a smaller size than expected by the Kirchhoffs approximation. The difference, however, can be easily solved by giving a bias to the main pattern in the optimized mask. The main pattern bias decreases DOF very little. Furthermore, DOF simulated with a thick mask model is roughly the same as that simulated with a thin mask model. Therefore the topography of the optimized mask does not have an influence on the assist pattern position of the optimized mask. From these results, we have confirmed that the 2D-TCC technique can be extended to the optimization of 45 nm line patterns. As one of the notable features, the optimized aperiodic assist pattern greatly reduces MEEF compared with the conventional periodic assist pattern. To verify the feasibility of the 2D-TCC technique for 45 nm line, we performed experiment with an optimized mask. Experimental results showed that DOF increased with the number of assist pattern as simulation indicated. In addition, a defect whose length was twice that of the assist pattern did not have an influence on CD. From these results we have confirmed that the 2D-TCC technique can enhance the resolution of 45 nm line and has practical feasibility.


Proceedings of SPIE | 2007

Assessment of trade-off between resist resolution and sensitivity for optimization of hyper-NA immersion lithography

Yasuhiro Kishikawa; Miyoko Kawashima; Akinori Ohkubo; Yuichi Iwasaki; Seiji Takeuchi; Minoru Yoshii; Tokuyuki Honda

The resist blur due to photoacid diffusion is a significant issue for 45-nm half-pitch node and beyond. Furthermore, it has been generally recognized that there is a trade-off between resist resolution and sensitivity. In this paper, we study the influence of the resist blur on resolution and sensitivity in hyper-numerical aperture ArF immersion lithography by utilizing a two-beam interferometric exposure tool. We evaluated the current photoresist performance for some of the latest commercial resists, and estimated their acid diffusion lengths as 8 to 9 nm in sigma assuming Gaussian blur kernel. In addition, we found that the acid diffusion length, that is, the resist resolution was controllable by PAG anion size, polymer resin size, and PEB temperature. We also found that there was the trade-off between resist resolution and sensitivity. Our results indicated that the resist blur is still a concern in order to extend ArF lithography for 45-nm half-pitch node and beyond, however, it will not likely be a showstopper. We consider that total optimization of resists and exposure tools is important in order to achieve ultimate resolution in hyper-NA immersion lithography.


Optical Microlithography XVII | 2004

ArF immersion lithography: critical optical issues

Tokuyuki Honda; Yasuhiro Kishikawa; Toshinobu Tokita; Hiroshi Ohsawa; Miyoko Kawashima; Akinori Ohkubo; Minoru Yoshii; Koji Uda; Akiyoshi Suzuki

We present selected results of our feasibility study on ArF Immersion lithography from the viewpoint of the exposure-tool development. First, we show that utilizing finite bubble lifetime in degassed water can eliminate air bubbles that are generated by wafer scanning. Second, it is shown that thermal fluctuation of immersion liquid as well as vectorial diffraction effect from the mask is not significant in terms of imaging performance. Third, we demonstrate resist imaging of 60-nm and 45-nm line-and-space patterns in interferometric exposure experiments with an ArF laser at the power level of the actual exposure tools. Fourth, the increase of the depth of focus is confirmed using an alpha exposure tool of ArF immersion. All these results indicate that the ArF immersion lithography is promising for 65-nm half-pitch node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

What determines the ultimate resolution? The critical relationship between exposure tools and photoresists

Tokuyuki Honda; Yasuhiro Kishikawa; Yuichi Iwasaki; Akinori Ohkubo; Miyoko Kawashima; Minoru Yoshii

As the resolution of optical lithography is being pushed for 45-nm half-pitch node, there is a growing concern about the printing capability of chemically amplified resists. The chemical amplification involves photoacid diffusion that causes contrast degradation of latent image or, in other words, resist blur. In this paper, we study the influence of the resist blur in high-NA ArF immersion lithography by using an interferometric exposure tool. Contrast ratio between the resist latent image and the original aerial image was measured for half pitch from 50 nm to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming Gaussian blur kernel. The results revealed that the influence of resist blur is in fact a significant issue for the 45-nm half pitch node. We consider that reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in the exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with high-index immersion fluid. The reduction of acid diffusion will be even more important if the ArF immersion is to be extended beyond 45-nm half-pitch node with high-index fluids. While the focus of the paper is on high-NA ArF immersion lithography, our findings are also relevant to EUV lithography.


Proceedings of SPIE | 2007

Feasibility of 37-nm half-pitch with ArF high-index immersion lithography

Yoshiyuki Sekine; Miyoko Kawashima; Eiji Sakamoto; Keita Sakai; Akihiro Yamada; Tokuyuki Honda

ArF water immersion exposure systems with a numerical aperture (NA) of over 1.3 are currently being developed and are expected to be used for the node up to 45-nm half-pitch. Although there are multiple candidates for the next generation node, we here focus on ArF immersion lithography using high-index materials. The refractive index of highindex fluids is typically about 1.64 and is larger than that of fused silica (~1.56). In this situation, the NA is limited by the refractive index of silica and is at most 1.45. An exposure system with 1.45 NA is not suitable for 32-nm hp node, but may be used for 37-nm hp node. In spite of this limitation, the system has the advantage of slight alterations from the current system using water as immersion fluid. On the other hand, high-index lens material is effective to increase the NA of projection optics further. At present, LuAG, whose refractive index is 2.14, is most promising as high-index lens material. The combination of high-index fluid and high-index lens material can enhance the NA up to about 1.55 and the exposure system would be available for the 32-nm half-pitch node. Although high-index immersion lithography is attractive since it is effective in raising resolution, such new materials should be examined if these materials can be used for high precision projection optics. Here, we have investigated optical characteristics of high-index materials in order to realize high-index immersion systems.


Journal of Micro-nanolithography Mems and Moems | 2006

Influence of resist blur on ultimate resolution of ArF immersion lithography

Tokuyuki Honda; Yasuhiro Kishikawa; Yuichi Iwasaki; Akinori Ohkubo; Miyoko Kawashima; Minoru Yoshii

The chemical amplification provides high sensitivity of resists for deep-uv and extreme-uv (EUV) lithography. On the other hand, the chemical amplification involves photoacid diffusion that causes contrast degradation of the latent image or, in other words, resist blur. We study the influence of the resist blur in high-numerical aperture ArF immersion lithography by using an interferometric exposure tool. The contrast ratio between the resist latent image and the original aerial image was measured for half pitches from 45 to 80 nm. Acid diffusion length for a high-resolution ArF resist was determined as 11 nm in sigma (26 nm in full width at half maximum) assuming a Gaussian blur kernel. The results revealed that the influence of the resist blur is a significant issue for the 45-nm half-pitch node. The reduction of acid diffusion length is highly desirable. Given the tradeoff between the resist resolution and sensitivity, increasing illumination intensity in exposure tools can be an effective means to overcome the challenge of the resist blur. We also demonstrate resist imaging of 30-nm line-and-space pattern with a high-index fluid. While our focus is on ArF immersion lithography, our findings are also relevant to EUV lithography.


international microprocesses and nanotechnology conference | 2005

Hyper-NA imaging in ArF immersion lithography

Tokuyuki Honda; Miyoko Kawashima; Yoshiyuki Sekine; Kenji Yamazoe; Eiji Sakamoto

ArF immersion lithography (Lin, 2004) has emerged as the primary solution for the manufacturing of semiconductor device for 65-nm half-pitch node and beyond. The immersion technique allows the design of projection optics with a numerical aperture that exceeds unity. Pure water is the preferred immersion fluid for the first generation of the immersion exposure tool. The water has good transmissivity and relatively high refractive index of 1.44 at ArF wavelength of 193 nm (Burnett et al., 2004). An NA of up to about 1.3 seems feasible with water. Moreover, high-index materials have recently been proposed for the immersion fluid (Miyarnatsu et al., 2005; Peng et al., 2005) as well as for the lens material (Burnett et al., 2004). With the high-index materials, the immersion technique may ultimately allow an NA that is even larger than the refractive index of water. In this paper, we present analytical results on imaging properties of the ArF immersion lithography in hyper-NA region to provide insight on the potential and challenges of the immersion technique.


Optical Microlithography XVIII | 2005

Analysis of imaging properties for hyper-NA ArF immersion lithography

Yoshiyuki Sekine; Miyoko Kawashima; Kenji Yamazoe; Tokuyuki Honda; Akinori Ohkubo; Yasuhiro Kishikawa; Yuichi Iwasaki; Akiyoshi Suzuki

As imaging properties of ArF Immersion optics are evaluated in a hyper-NA region, the polarization of illumination systems and vectorial mask diffraction play an important role. We investigate the effectiveness of polarized illumination for practical patterns including the border of dense line-and-space (L/S) patterns, semi-dense L/S patterns, isolated lines, and contact holes. The results show that polarized illumination is effective in projecting many patterns except semi-dense L/S patterns and relatively large contact holes. Secondly, we examine how bias settings of alternating phase-shift masks (AltPSMs) are affected by vectorial mask diffraction, which depends on the polarization of incident light and feature size on the mask. Although a reduction ratio of 8x facilitates bias settings compared with that of 4x, it is necessary to take into account the effect of vectorial mask diffraction even in the case of 8x. Since polarized illumination also simplifies bias settings, the illumination is useful for 4x projection optics. High-index fluids have recently attracted considerable attention because they are capable of extending the numerical aperture of projection optics beyond the refractive index of water (n=1.44). We study imaging properties of 1.50NA projection optics with an immersion fluid of n=1.64 and the preliminary requirements of fundamental optical characteristics of the fluid.


Journal of Micro-nanolithography Mems and Moems | 2009

Influence of resist blur on resolution of hyper-NA immersion lithography beyond 45-nm half-pitch

Minoru Yoshii; Yasuhiro Kishikawa; Yuichi Iwasaki; Akinori Ohkubo; Miyoko Kawashima; Seiji Takeuchi; Tokuyuki Honda; Toyohiko Yatagai

For lithography of 45-nm half-pitch and beyond, the resist blur due to photoacid diffusion is a significant issue. On the other hand, it has been generally recognized that there is a trade-off between resist resolution and sensitivity. We study the influence of the resist blur on resolution in hypernumerical aperture ArF immersion lithography by utilizing a two-beam interferometric exposure tool. We evaluated the current photoresist performance for some of the latest commercial resists and estimated their acid diffusion lengths as 8 nm to 9 nm in sigma assuming Gaussian blur kernel. In addition, we found that the acid diffusion length, which is directly related to the resist resolution and is controllable by photoacid generator (PAG) anion size, polymer resin size, and post-exposure bake (PEB) temperature. We confirmed that there is a trade-off between resist resolution and sensitivity. Our results indicate that the resist blur is still a concern in order to extend lithography for 45 nm and beyond; however, it will not likely be a showstopper. We consider that total optimization of resists and exposure tools is important in order to achieve ultimate resolution in hyper-NA immersion lithography.

Collaboration


Dive into the Miyoko Kawashima's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge