Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alessandro Vaglio Pret is active.

Publication


Featured researches published by Alessandro Vaglio Pret.


Journal of Vacuum Science & Technology B | 2013

Impact of pupil plane filtering on mask roughness transfer

Burak Baylav; Chris Maloney; Zac Levinson; Joost Bekaert; Alessandro Vaglio Pret; Bruce W. Smith

Line edge roughness (LER) is a common problem to all lithography techniques and is seen as an increasingly important challenge for advanced technology nodes. Contributions to LER can come from the aerial image itself or the resist related processes. Mask roughness belongs to the former group, which can contribute to the low frequency roughness. This paper investigates the mitigating effect of pupil plane filtering on the mask roughness transfer. Experiments were performed using a mask with edge roughness programmed at different periods on 128 nm pitch vertical line/space patterns. A target phase filter was optimized for ArF illumination source and the roughness period of 200 nm. The filter introduces an orientation dependent defocus; hence, reducing the image fidelity in the direction of roughness features without significantly impacting the fidelity of vertical line and space features. Experimental results showed significant reduction in mask roughness transfer for the target roughness period.


Journal of Micro-nanolithography Mems and Moems | 2014

Line edge and width roughness smoothing by plasma treatment

Peter De Schepper; Terje Hansen; Efrain Altamirano-Sanchez; Alessandro Vaglio Pret; Ziad El Otell; Werner Boulart; Stefan De Gendt

Abstract. Smoothing effects of postlithography plasma treatments on 22-nm lines and spaces are evaluated for two types of extreme ultraviolet photoresists, using five different plasma processes (Ar, H2/Ar, HBr, H2/N2, and H2). Experimental results indicate a reduction in linewidth roughness of about 10% by using an H2 plasma smoothing process. This smoothing process is mainly triggered by the synergy of vacuum ultraviolet photons and H2 reactive species during the plasma treatment. Moreover, the smoothing process is dependent on the resist composition and the pattern dimensions. This paper shows the impact of different plasma conditions on roughness reduction for 22-nm lines.


Proceedings of SPIE | 2015

XAS photoresists electron/quantum yields study with synchrotron light

Peter De Schepper; Alessandro Vaglio Pret; Terje Hansen; Angelo Giglia; Kenji Hoshiko; Antonio Mani; John J. Biafore

The main roadblock for EUV lithography to be successfully adopted for high-volume manufacturing is the current lack of source power. One way to help mitigate this problem is to optimize the photoresist by increasing both absorbance and quantum yield. The latter represents the ratio between the sums of generated acids and absorbed photons. Yield is also thought to be limited by the number of generated electrons per absorbed photon, or electron yield, that may be generated after a photo absorption event. While absorbance is relatively easy to measure, yields are extremely difficult to quantify, and the debate on upper limits is far from settled. In this paper, we present how, using synchrotron light with tunable energy, we directly measured dispersion curves and electron yield for ArF, KrF and EUV photoresists using X-ray Absorption Spectroscopy. Knowing the electron yield allowed us to better model organic EUV materials: stochastic simulations show how both electron yield and blur are very similar for organic materials, and how the electron blur is not a fixed property of the material, but may vary spatially, depending on a combination of photoresist formulation and local photon absorption density.


Proceedings of SPIE | 2014

Investigation of interactions between metrology and lithography with a CD SEM simulator

Mark D. Smith; Chao Fang; John J. Biafore; Alessandro Vaglio Pret; Stewart A. Robertson

The predictive power of computational lithography is often demonstrated by showing predicted 2D pattern shapes compared with top-down SEM images. However, image formation in a SEM is a complex process [1,2,3], and for most 3D lithography and OPC simulators, line width measurements and 2D pattern shapes are based on extracted resist polygons at a fixed height above the substrate. Generating resist polygon shapes with this method is driven by computationally efficiency instead of an attempt to describe the image formation process in an actual SEM. We present PROLITH photolithography simulations combined with simulation of the CD SEM to investigate the interactions between lithography and metrology. Our CD SEM simulator is a simplification of the complicated image formation process [4], but it captures many effects seen experimentally. For example, narrow trenches and contact holes are dark at the bottom in our simulated SEM images, while for isolated lines, the sidewall of the photoresist can clearly be observed all the way to the resist foot at the substrate. This simple result has important implications when evaluating lithographic phenomena such as LWR: for polygon-based metrology, simulated LWR is approximately constant with resist thickness; by contrast, the LWR increases with decreasing thickness when the same simulated 3D resist profiles are evaluated with the CD SEM simulator.


Proceedings of SPIE | 2017

Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists

Alessandro Vaglio Pret; Trey Graves; David Blankenship; John J. Biafore

Alternative photoresist platforms are being developed with the goal of meeting Resolution, Roughness and Sensitivity requirements for EUV lithography. Metal-based materials appear promising due to the high etch resistance, high absorption, and high resolution. However, the exposure mechanism of these materials is quite different from that of organic chemically amplified resists. The current electron-scattering model built into PROLITHTM X6.0 allows a direct comparison of the exposure mechanisms for different resist platforms: in particular, it is now possible to estimate the intrinsic resist uncertainty by evaluating electron, acid shot noise and spatial blurring, while forcing the photon shot noise contribution to zero. A comparison between organic resists and metal-based platforms reveals how the denser nature of the latter help containing the electron scattering in a much closer radius around the absorption event. The consequent electron-reaction (acid generation for photo-active-generator-containing organic materials, ligand dissociation for the metal-oxides) reflects the electron shot noise of the different platforms. The higher absorption combined with lower blur of the metaloxide materials seem to become of crucial importance for the 5 nm technology node and beyond.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Comparative stochastic process variation bands for N7, N5, and N3 at EUV

Alessandro Vaglio Pret; Trey Graves; David Blankenship; Kunlun Bai; Stewart A. Robertson; Peter De Bisschop; John J. Biafore

Stochastics effects are the ultimate limiter of optical lithography technology and are a major concern for next-generation technology nodes in EUV lithography. Following up on work published last year, we compare the performance of organic chemically-amplified and condensed metal-oxide resists exposed at different sizing doses using a proxy 2D SRAM layout. For each combination of material, technology node, and lithographic approach, we perform 550,000 physics based Monte-Carlo simulations of the SRAM cell. We look at many performance data, including stochastic process variation bands at fixed, nominal conditions assuming no variation in process parameters vs. the stochastic process variation bands obtained by inclusion of process parameters. Perturbations are applied to exposure dose, focus, chief-ray azimuthal angle, mask CD, stack thicknesses, and PEB temperature. We study stochastic responses for three technology nodes: • An SRAM cell for 7 nm technology node, with Numerical Aperture = 0.33 and patterned with organic chemically amplified resist • An SRAM cell for 5 nm technology node, with Numerical Aperture = 0.33 and patterned with: o Organic chemically amplified resist o Fast photospeed organic chemically amplified resist o Metal-oxide resist • An SRAM cell for 3 nm technology node, patterned with organic chemically amplified resist and: o Numerical Aperture = 0.33 in single exposure o Numerical Aperture = 0.33 with double exposure o Numerical Aperture = 0.55 with anamorphic pupil For each case, we optimize mask bias, source illumination and process conditions across focus to maximize the optical contrast. We did not apply optical proximity correction to the mask. The purpose of the work is to evaluate the stochastic behavior of different features as a function of material strategy, technology node, and lithographic approach.


Proceedings of SPIE | 2015

DSA graphoepitaxy calibrations for contact hole multiplication

Trey Graves; Alessandro Vaglio Pret; Stewart A. Robertson; Mark D. Smith; Jan Doise; Joost Bekaert; Roel Gronheid

Directed Self-Assembly (DSA) is one of the leading candidates for next generation patterning in IC manufacturing. With the continued delay of EUV and the increasing costs of evermore complex multipatterning techniques, DSA has the potential to produce small, well-defined features on a tight pitch. The graphoepitaxy DSA approach can be used to form single or multiple uniform contact holes (cylinders) well below the resolution limit of the optical exposure tool in a pre-pattern template. The utility of these patterns in the semiconductor manufacturing process is dependent on the capability of the process to control the size, edge roughness and placement of these DSA structures in the presence of reasonable levels of variation in the DSA material, the processing of that material and the pre-pattern template. In this study, a 3-D Self-Consistent Field Theory (SCFT) model has been developed to describe the behavior of such DSA systems. The utility of the simulator to describe actual physical behavior is explored, by fine tuning the SCFT model input parameters against experimental data for certain pre-pattern configurations and then evaluating the model predictions for other separate pre-pattern shapes. Two separate calibration studies are presented, one with 2-D guide patterns, in which multiple holes are positioned in a 2-D irregular array, and the other with 1-D structures, where the holes are distributed along one direction only. Pattern contours are extracted from CD-SEM images. A metric that measures the CD and placement is used to evaluate the modeled contours against the experimental contours.


Journal of Micro-nanolithography Mems and Moems | 2017

Challenges in line edge roughness metrology in directed self-assembly lithography: placement errors and cross-line correlations

Vassilios Constantoudis; George Papavieros; Evangelos Gogolides; Alessandro Vaglio Pret; Hari Pathangi; Roel Gronheid

Abstract. Directed self-assembly (DSA) lithography poses challenges in line edge roughness (LER)/line width roughness metrology due to its self-organized and pitch-based nature. To cope with these challenges, a characterization approach with metrics and/or updates of the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: (a) the large correlations between the left and right edges of a line (line wiggling) and (b) the cross-line correlations, i.e., the resemblance of wiggling fluctuations of nearby lines. The first is quantified by the line center roughness whose low-frequency part is related to the local placement errors of device structures. For the second, we introduce the c-factor correlation function, which quantifies the strength of the correlations between lines versus their horizontal distance in pitches. The proposed characterization approach is first illustrated and explained in synthesized scanning electron microscope images with full control of their dimensional and roughness parameters; it is then applied to the analysis of line/space patterns obtained with the Liu–Nealey flow (post-Polymethyl methacrylate removal and pattern transfer), revealing the effects of pattern transfer on roughness and uniformity. Finally, we calculate the c-factor function of various next-generation lithography techniques and show their distinct footprint on the extent of cross-line correlations.


Proceedings of SPIE | 2016

Characterizing and modeling electrical response to light for metal-based EUV photoresists

Alessandro Vaglio Pret; Mike Kocsis; Danilo De Simone; Geert Vandenberghe; Jason K. Stowers; Angelo Giglia; Peter De Schepper; Antonio Mani; John J. Biafore

Metal-based photoresists are appealing for use in EUV lithography due to their improved etch resistance and absorption compared with organic resists, and due to their resolving power demonstrated with 13.53 nm exposures using synchrotron light. Recently imec has started a new project to study novel photoresists for EUV lithography, with particular attention to metal containing materials, in order to explore alternative approaches that may offer superior characteristics in photoresist imaging and etching performance compared with more mature chemically amplified resists. In order to model these novel resists it is mandatory to understand both the optical properties and the electronic response to photon absorption. As in previous experiments on organic materials, some of the optical properties can be determined by merging analysis from high-energy electron scattering models (e.g. CXRO website), X-ray absorption spectroscopy, and DUV spectroscopic ellipsometry. Dispersion curves can be used to calculate the electronic inelastic and elastic mean-free paths; convolved with the expected spectrum at wafer level it is possible to estimate the electron yield and the secondary electron blur of the photoresist. These material properties can be used to modify the physical models currently used to simulate organic photoresist performance in computational lithography software.


Proceedings of SPIE | 2016

Challenges in LER/CDU metrology in DSA: placement error and cross-line correlations

Vassilios Constantoudis; Vijaya-Kumar Murugesan Kuppuswamy; Evangelos Gogolides; Alessandro Vaglio Pret; Hari Pathangi; Roel Gronheid

DSA lithography poses new challenges in LER/LWR metrology due to its self-organized and pitch-based nature. To cope with these challenges, a novel characterization approach with new metrics and updating the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: a) the large correlations between the left and right edges of a line (line wiggling, rms(LWR)<rms(LER)) and b) the cross-line correlations, i.e. the resemblance of wiggling fluctuations of nearby lines. The first is quantified by the Line Center Roughness whose low-frequency part is related to the local placement errors of device structures. For the second, we propose the c-factor correlation function which quantifies the strength of the correlations between lines versus their horizontal distance in pitches. Also, we define roughness and uniformity parameters for the pitch changes along and across lines. The proposed characterization approach is applied to the analysis of line/space patterns obtained with the Liu-Nealey (LiNe) flow (post PMMA removal and pattern transfer) revealing the effects of pattern transfer on roughness and uniformity. Finally, we calculate the cfactor function of various Next-Generation Lithography techniques and reveal their distinct footprint on the extent of cross-line correlations.

Collaboration


Dive into the Alessandro Vaglio Pret's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Peter De Schepper

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge