Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Trey Graves is active.

Publication


Featured researches published by Trey Graves.


Proceedings of SPIE | 2009

Statistical simulation of resist at EUV and ArF

John J. Biafore; Mark D. Smith; Chris A. Mack; James W. Thackeray; Roel Gronheid; Stewart A. Robertson; Trey Graves; David Blankenship

Requirements of resist modeling strategies for EUV and low-k1 ArF nanolithography continue to become more stringent. Resist designers are consistently faced with the task of reducing exposure dose and line roughness while simultaneously improving exposure latitude, depth-of-focus and ultimate resolution. In this work, we briefly discuss a next-generation resist model for the prediction of statistical resist responses such as line-edge roughness, line-width roughness and CD variability, as well as base lithographic responses such as exposure latitude. The models parameterized fit to experimental data from a state-of-the art polymer-bound PAG resist irradiated at ArF and EUV will be shown. The probabilistic computation of acid generation at ArF and EUV will be discussed. The factors influencing the hypothesized primary cause of resist roughness, acid shot noise, are discussed.


Proceedings of SPIE | 2007

Mechanistic Simulation of Line-Edge Roughness

John J. Biafore; Mark D. Smith; Stewart A. Robertson; Trey Graves

Physically-based photoresist models, such as those in PROLITH, have been very successful in describing photolithography from a continuum standpoint. These models allow engineers to accurately predict the final resist CD on the wafer and to analyze process robustness. However, as the critical dimension continues to shrink, yield-limiting phenomena are observed that are related to the molecular nature and reaction kinetics of photoresist materials. An example of these phenomena is line-edge roughness (LER). In this paper, the origin of LER is hypothesized to be caused by fluctuations occurring in the initial position of the reactants, fluctuations during the exposure process (shot noise) and fluctuations occurring during thermally-induced reaction-diffusion (post-exposure bake). We have developed a lattice-based mechanistic simulator to better understand the stochastic nature of reactant initial position, the exposure step, the importance of the discrete nature of the reactants, the coupling to the deprotection kinetics and the deep complexity evident in the diffusion-limited acid-quencher reaction.


Proceedings of SPIE | 2009

Simulation of optical lithography in the presence of topography and spin-coated films

Stewart A. Robertson; Michael T. Reilly; Trey Graves; John J. Biafore; Mark D. Smith; Damien Perret; Vladimir Ivin; Sergey Potashov; Mikhail V. Silakov; Nikolay Elistratov

Experimental results on etched silicon wafers show that after two consecutive spin-coat processes the upper material surface achieves near planar flatness. This was observed for three separate dual layer BARC systems and the case of photoresist over a single layer BARC. The wafer topography step height (60 nm) and the thicknesses of the organic films (20 nm - 100 nm) were typical for state-of-the-art IC manufacturing lithography processes. A lithographic proximity effect driven by wafer topography pitch was experimentally observed for a single layer BARC system. The response was reproduced with good quantitative accuracy using rigorous wafer plane EMF simulations incorporating ideal etched wafer topography, a planarizing resist film and a simple spin-coat approximation of the BARC coverage, as observed by x-section SEM. In contrast, simulations assuming the limiting cases of a perfectly conformal BARC and a perfectly planarizing BARC failed to predict any meaningful proximity effect.


Proceedings of SPIE | 2008

Rigorous physical modeling of a materials-based frequency doubling lithography process

Stewart A. Robertson; John J. Biafore; Trey Graves; Mark D. Smith

Double patterning (DP) lithography appears to be a likely patterning technology for 32 nm node manufacturing. Litho-Litho-Etch DP may offer lower cost-of-ownership than Litho-Etch-Litho-Etch DP methods, but uses novel materials and processes that have not been fully characterized. In this work, one LLE approach (negative resist processed over a positive resist image) is studied; the results are used to develop a rigorous physical model that describes the process behavior. Experimental observations suggest that processing during the second lithographic pass influences the resist features produced by the first pass. A prototype simulator has been developed which can model the behavior of the first resist through the entire double patterning process. The model can also predict the response of the second lithographic pass by accounting for the optical and physical-chemical interactions with the first pass features. After validating the simulation predictions with experimental results, a modeling study investigates the interactions between the two passes as a function of alignment error. The study shows that for materials with similar refractive indices (&Dgr;n ⩽ 0.1, &Dgr;k ≈ 0) optical effects are small and interactions between the passes are dominated by the boundary conditions, even if the materials are inert to each other.


Proceedings of SPIE | 2011

Comprehensive EUV lithography model

Mark D. Smith; Trey Graves; John J. Biafore; Stewart A. Robertson; Cheol-Kyun Kim; James Moon; Jaeheon Kim; Cheol-Kyu Bok; Donggyu Yim

As EUV lithography nears pilot-line stage, photolithography modeling becomes increasingly important in order for engineers to build viable, production-worthy processes. In this paper, we present a comprehensive, calibrated lithography model that includes optical effects such as mask shadowing and flare, combined with a stochastic resist model that can predict effects such as line-edge roughness. The model was calibrated to CD versus pitch data with varying levels of flare, as well as dense lines with varying degrees of mask shadowing. We then use this model to investigate several issues critical to EUV. First, we investigate EUV photoresist technology: the impact of photoelectron-PAG exposure kinetics on photospeed, and then we examine the trade-off between LWR and photospeed by changing quencher loading in the photoresist model. Second, we compare the predicted process windows for dense lines as flare and lens aberrations are reduced from the levels in the current alpha tools to the levels expected in the beta tools. The observed interactions between optical improvements and resist LWR indicate that a comprehensive model is required to provide a realistic evaluation of a lithography process.


Proceedings of SPIE | 2011

Impact of mask line roughness in EUV lithography

Alessandro Vaglio Pret; Roel Gronheid; Trey Graves; Mark D. Smith; John J. Biafore

Resist line edge/width roughness is one of the most critical aspects in EUV lithography for the 32 nm technological node and below. It is originated by the uncertainties which characterize the lithographic process: source speckle effect, mask line and surface roughness, mirror roughness, flare effect and resist pattern formation all contribute to the final roughness. In this paper mask and resist line edge roughness were compared by means of frequency analysis on top-down SEM images: it was found that low frequencies mask roughness are well correlated with the Power Spectral Density of the resist roughness. Mask high frequencies components resulted less critical due to the natural cut-off of the optical system. Experimental data for both mask and resist were implemented in the PROLITH Stochastic Resist Model simulator to quantify the mask line edge roughness contribution to the final resist roughness: the results showed that 16% of the low frequency resist roughness component is originated at the mask level. For that reason, mask impact was set as 0.6 nm of the overall line edge roughness resist budget.


Journal of Micro-nanolithography Mems and Moems | 2011

Mask absorber roughness impact in extreme ultraviolet lithography

Alessandro Vaglio Pret; Roel Gronheid; Trey Graves; Mark D. Smith; John J. Biafore

Resist line-edge/width roughness is one of the most critical aspects in extreme UV lithography for the 32-nm technological node and below. It is originated by the uncertainties which characterize the lithographic process: source speckle effect, mask line and surface roughness, mirror roughness, flare effect, and resist pattern formation all contribute to the final roughness. In this paper mask and resist line-edge roughness were compared by means of frequency analysis on top-down scanning electron microscopy images: it was found that low frequency mask roughness is well correlated with the power spectral density of the resist roughness. Mask high-frequency components resulted less critical due to the natural cut-off of the optical system. Experimental data for both mask and resist were implemented in the PROLITH stochastic resist model simulator to quantify the mask line edge roughness contribution to the final resist roughness: the results showed that, for the particular lithographic setting used during the exposures, 16% of the low frequency resist roughness component is originated at the mask level. For this reason, mask impact was set as 0.6 nm of the overall line edge roughness resist budget.


Proceedings of SPIE | 2017

Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists

Alessandro Vaglio Pret; Trey Graves; David Blankenship; John J. Biafore

Alternative photoresist platforms are being developed with the goal of meeting Resolution, Roughness and Sensitivity requirements for EUV lithography. Metal-based materials appear promising due to the high etch resistance, high absorption, and high resolution. However, the exposure mechanism of these materials is quite different from that of organic chemically amplified resists. The current electron-scattering model built into PROLITHTM X6.0 allows a direct comparison of the exposure mechanisms for different resist platforms: in particular, it is now possible to estimate the intrinsic resist uncertainty by evaluating electron, acid shot noise and spatial blurring, while forcing the photon shot noise contribution to zero. A comparison between organic resists and metal-based platforms reveals how the denser nature of the latter help containing the electron scattering in a much closer radius around the absorption event. The consequent electron-reaction (acid generation for photo-active-generator-containing organic materials, ligand dissociation for the metal-oxides) reflects the electron shot noise of the different platforms. The higher absorption combined with lower blur of the metaloxide materials seem to become of crucial importance for the 5 nm technology node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

The impact of attenuated phase shift mask topography on hyper-NA lithography

Chris A. Mack; Mark D. Smith; Trey Graves

Thin mask approximations and Kirchhoff boundary conditions for imaging calculations are justified when patterns on masks are large compared to the imaging wavelength and the thickness of absorber films were relatively small compared with the wavelength. For the future technology nodes, these assumptions will not be sufficiently accurate for simulation of attenuated phase shift masks. At very high numerical apertures and extreme off-axis illumination angles, changes in the optical path length and shadowing by the mask topography can lead to phase and amplitude deviations between the thin mask approximation and the more rigorous, full Maxwell equations approach. We have found a systematic, non-constant transmission and phase variation through pitch for low k1 imaging that is not found with the thin-mask approach. In this paper, the major impacts of attenuated phase shift mask topography in the presence of extreme off-axis illumination with numerical apertures greater than one is investigated and the contribution of mask topography to CD errors on the wafer is explored. Consideration of this new mask component to CD error budgets is needed when debating the advantages and disadvantages in a reticle magnification change.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Comparative stochastic process variation bands for N7, N5, and N3 at EUV

Alessandro Vaglio Pret; Trey Graves; David Blankenship; Kunlun Bai; Stewart A. Robertson; Peter De Bisschop; John J. Biafore

Stochastics effects are the ultimate limiter of optical lithography technology and are a major concern for next-generation technology nodes in EUV lithography. Following up on work published last year, we compare the performance of organic chemically-amplified and condensed metal-oxide resists exposed at different sizing doses using a proxy 2D SRAM layout. For each combination of material, technology node, and lithographic approach, we perform 550,000 physics based Monte-Carlo simulations of the SRAM cell. We look at many performance data, including stochastic process variation bands at fixed, nominal conditions assuming no variation in process parameters vs. the stochastic process variation bands obtained by inclusion of process parameters. Perturbations are applied to exposure dose, focus, chief-ray azimuthal angle, mask CD, stack thicknesses, and PEB temperature. We study stochastic responses for three technology nodes: • An SRAM cell for 7 nm technology node, with Numerical Aperture = 0.33 and patterned with organic chemically amplified resist • An SRAM cell for 5 nm technology node, with Numerical Aperture = 0.33 and patterned with: o Organic chemically amplified resist o Fast photospeed organic chemically amplified resist o Metal-oxide resist • An SRAM cell for 3 nm technology node, patterned with organic chemically amplified resist and: o Numerical Aperture = 0.33 in single exposure o Numerical Aperture = 0.33 with double exposure o Numerical Aperture = 0.55 with anamorphic pupil For each case, we optimize mask bias, source illumination and process conditions across focus to maximize the optical contrast. We did not apply optical proximity correction to the mask. The purpose of the work is to evaluate the stochastic behavior of different features as a function of material strategy, technology node, and lithographic approach.

Collaboration


Dive into the Trey Graves's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge